《Practical UVM Step by Step with IEEE》学习记录(一)——实验环境准备

前言

UVM学习记录,欢迎交流

环境

  • 操作系统: Linux Centos 64
  • VCS版本:synopsys/vcs-P-2019.06-SP1-1
  • Verdi版本:synopsys/verdi-P-2019.06-SP1-1
  • Gcc版本:gcc (GCC) 4.8.5 20150623 (Red Hat 4.8.5-44)

学习记录

1. 下载源代码

Practical UVM Step by Step with IEEE 实验源码 Github 下载地址

a.git clone 源码

git clone https://gh.api.99988866.xyz/https://github.com/Practical-UVM-Step-By-Step/Practical-UVM-IEEE-Edition.git
  • Note: 该地址经过加速后的地址(不一定可用)

b.解压

unzip Practical-UVM-IEEE-Edition-master.zip

2. 跑demo

cd Practical-UVM-IEEE-Edition-master/IEEE_version/UVM_Quickstart/UVM_TestBench/run
make all default

问题记录以及Debug记录

在跑Demo时,遇到如下问题

问题:

1. 跑Demo环境 报 "case vpiArrayVar"的错误

/ic/eda_tools/synopsys/legal_copy_synopsys/vcs/P-2019.06-SP2/etc/uvm-ieee/dpi/uvm_hdl_vcs.c:49:12: error: 'vpiArrayVar' was not declared in this scope
       case vpiArrayVar:

Debug

在GitHub上发现了解决方案:
是VCS自带的UVM库存在问题,需要手动指定到最新的UVM版本
将VCS_UVM_HOME指定为最新的UVM
UVM官网下载最新的UVM源码
并设置环境变量VCS_UVM_HOME

1. export VCS_UVM_HOME="/path/uvm_path/src"
2. echo $VCS_UVM_HOME 查看环境变量是否设置成功
  • Note:
    我的UVM源码路径为:~/proj/UVM/UVM-18002-2020-20
    "/path/uvm_path/src"替换为你的UVM源码路径即可

参考资料

http://c.biancheng.net/view/782.html
https://github.com/Practical-UVM-Step-By-Step/Practical-UVM-IEEE-Edition/issues/1

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 4
    评论
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Chen_陈某人

一杯水,一包烟,一把键盘敲一天

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值