github上点赞前100的关于UVM的仓库

NAMEOWNERSTARSURLDESCRIPTION
uvmprimerraysalemi174https://github.com/raysalemi/uvmprimerContains the code examples from The UVM Primer Book sorted by chapters.
logictymonx136https://github.com/tymonx/logicCMake, SystemVerilog and SystemC utilities for creating, building and testing RTL projects for FPGAs and ASICs.
UVMReferenceVerificationExcellence110https://github.com/VerificationExcellence/UVMReferenceReference examples and short projects using UVM Methodology
uvm-tutorial-for-candy-loverscluelogic79https://github.com/cluelogic/uvm-tutorial-for-candy-loversSource code repo for UVM Tutorial for Candy Lovers
svaunitamiq-consulting49https://github.com/amiq-consulting/svaunitSVAUnit is an UVM compliant package that simplify the creation of stimuli/checkers for validating SystemVerilog Assertions (SVA)
tvip-axitaichi-ishitani47https://github.com/taichi-ishitani/tvip-axiAMBA AXI VIP
AHB2GodelMachine43https://github.com/GodelMachine/AHB2AMBA AHB 2.0 VIP in SystemVerilog UVM
uvm_agentsdovstamler41https://github.com/dovstamler/uvm_agentsUVM agents
UVMmayurkubavat38https://github.com/mayurkubavat/UVMUVM examples and projects
tnoctaichi-ishitani37https://github.com/taichi-ishitani/tnocNetwork on Chip Implementation written in SytemVerilog
combinator-uvmdoswellf27https://github.com/doswellf/combinator-uvmUVM Testbench For SystemVerilog Combinator Implementation
axi-uvmmarcoz00123https://github.com/marcoz001/axi-uvmyet another AXI testbench repo. 😉 This is for my UVM practice. https://marcoz001.github.io/axi-uvm/
custom_uvm_report_serverkaushalmodi18https://github.com/kaushalmodi/custom_uvm_report_serverCustomized UVM Report Server
uvm-utestnosnhojn17https://github.com/nosnhojn/uvm-utestNone
AMBA_APB_SRAMcourageheart16https://github.com/courageheart/AMBA_APB_SRAMAMBA v.3 APB v.1 Specification Complaint Slave SRAM Core design and testbench. The testbench is developed using System Verilog and UVM and can be used as standalone Verification IP (VIP).
ISP_UVMnelsoncsc15https://github.com/nelsoncsc/ISP_UVMA Framework for Design and Verification of Image Processing Applications using UVM
second_editionadvanced-uvm15https://github.com/advanced-uvm/second_editionCode for the second edition of Advanced UVM.
uvm_debuguvmdebug13https://github.com/uvmdebug/uvm_debugUVM interactive debug library
easyUVMnelsoncsc13https://github.com/nelsoncsc/easyUVMA simple UVM example with DPI
UVMchiggs12https://github.com/chiggs/UVMMirror of the Universal Verification Methodology from sourceforge
uvm_apbsmartfoxdata12https://github.com/smartfoxdata/uvm_apbuvm_apb is a uvm package for modeling and verifying APB (Advanced Periperal Bus) protocol
uvm_genhjking11https://github.com/hjking/uvm_genUVM Generator
uvm-componentspulp-platform11https://github.com/pulp-platform/uvm-componentsContains commonly used UVM components (agents, environments and tests).
uvm_candy_loverzhajio198810https://github.com/zhajio1988/uvm_candy_lover🍬UVM candy lover testbench which uses YASA as simulation script
ref-uvm-i2c-wbic7x2410https://github.com/ic7x24/ref-uvm-i2c-wbNone
jarvisukshady8312139https://github.com/shady831213/jarvisukJust A Really Very Impressive Systemverilog UVM Kit
freecellera-uvmFreecellera8https://github.com/Freecellera/freecellera-uvmFreecellera fork of the Universal Verification Methodology (SystemVerilog verification library from Accellera.org)
RISC_VERIF_DEMO_0MushroomZQ8https://github.com/MushroomZQ/RISC_VERIF_DEMO_0a very simple risc_cpu verification demo with uvm
uvm_reg_to_ipxactamiq-consulting8https://github.com/amiq-consulting/uvm_reg_to_ipxactNone
uvm_axismartfoxdata8https://github.com/smartfoxdata/uvm_axiuvm_axi is a uvm package for modeling and verifying AXI protocol
uvm_axi4litesmartfoxdata8https://github.com/smartfoxdata/uvm_axi4liteuvm_axi4lite is a uvm package for modeling and verifying AXI4 Lite protocol
uvmaccellera7https://github.com/accellera/uvmNone
ahb3_uvm_tbdesignsolver7https://github.com/designsolver/ahb3_uvm_tbAMBA 3 AHB UVM TB
yuu_ahbseabeam7https://github.com/seabeam/yuu_ahbUVM AHB VIP
uvm_startersmartfoxdata7https://github.com/smartfoxdata/uvm_starteruvm_starter is a simple template for starting uvm projects
YasaUvkzhajio19887https://github.com/zhajio1988/YasaUvk🐛UVM verification kits which uses YASA as simulation script
AHB-APB_Bridge_UVM_EnvGateway916https://github.com/Gateway91/AHB-APB_Bridge_UVM_EnvAHB-APB UVM Verification Environment
UVM-APB_RALJoseIuri5https://github.com/JoseIuri/UVM-APB_RALThis repository contains an example of the use of UVM Register Abstraction Layer in a verification of a simple APB DUT.
yammamiq-consulting5https://github.com/amiq-consulting/yammYAMM package repository
GaiaGeraltShi5https://github.com/GeraltShi/GaiaGenerate UVM testbench framework template files with Python 3
gpio_agentimokanj5https://github.com/imokanj/gpio_agentGeneral Purpose I/O agent written in UVM
UARTdarthsider5https://github.com/darthsider/UARTUART design in SV and verification using UVM and SV
uvm_sin_cos_tablevlotnik5https://github.com/vlotnik/uvm_sin_cos_tableContains source code for sin/cos table verification using UVM
UVM-Verification-Testbench-For-SimpleBusrdou5https://github.com/rdou/UVM-Verification-Testbench-For-SimpleBusNone
yuu_apbseabeam5https://github.com/seabeam/yuu_apbUVM APB VIP, part of AMBA3&AMBA4 feature supported
regModelbriandong5https://github.com/briandong/regModelThis script builds the UVM register model, based on pre-defined address map in markdown (mk) style
sva_tracesgo2uvm5https://github.com/go2uvm/sva_tracesTraces for SVA - SystemVerilog Assertions; Will use Go2UVM package to write traces and use uvm_report_mock to predict errors
uvm_agent_genblargony4https://github.com/blargony/uvm_agent_genUVM Agent Generator
i2c_wb_sv_uvmrajkumarraval4https://github.com/rajkumarraval/i2c_wb_sv_uvmNone
UVM-Simulation-JTAGserinvarghese4https://github.com/serinvarghese/UVM-Simulation-JTAGUVM Simulation Model for a JTAG Interface
UvmEnvUartApbnguyenquanicd4https://github.com/nguyenquanicd/UvmEnvUartApbThis is the UVM environment for UART-APB IP core. This environment contains full UVM components. It is only used for studing and invetigating the UVM env.
tuetaichi-ishitani4https://github.com/taichi-ishitani/tueUseful UVM extensions
uvmgenedcote4https://github.com/edcote/uvmgenUVM verification component and testbench generator tool
cagtamiq-consulting4https://github.com/amiq-consulting/cagtCommon Agent is a generic agent implemented in SystemVerilog, based on UVM methodology, which can be easily extended to create very fast an UVM based agent for any protocol.
UVM_Verificationavashist0034https://github.com/avashist003/UVM_VerificationAdvance UVM testbench with DPI integration, Assertions, Functional Coverage andHierarchical Sequence
tvip-apbtaichi-ishitani4https://github.com/taichi-ishitani/tvip-apbVerification IP for AMBA APB Protocol
uvm_automingzhang9523https://github.com/mingzhang952/uvm_autouvm auto generator
uart2bustestbenchhanysalah3https://github.com/hanysalah/uart2bustestbenchUVM Verification IP to uart2bus IP.
uvm-phase-jumpingPedroHSCavalcante3https://github.com/PedroHSCavalcante/uvm-phase-jumpingSimple UVM phase jumping
UVM-Verification-Testbench-For-FIFOrdou3https://github.com/rdou/UVM-Verification-Testbench-For-FIFOA complete UVM verification testbench for FIFO
uvmkippy6203https://github.com/kippy620/uvmLearning uvm step by step.
Async_FIFO_Verificationakzare3https://github.com/akzare/Async_FIFO_VerificationPresents a verification use case for a typical Asynchronous FIFO based on Systemverilog and UVM.
uvmBasicsadibis3https://github.com/adibis/uvmBasicsBasics of UVM via an APB slave
yuu_vip_genseabeam3https://github.com/seabeam/yuu_vip_genUVM VIP architecture generator
UVM_primerhmomkar3https://github.com/hmomkar/UVM_primerContains UVM example from Ray salemi authored book
sv_practiceharpreetbhatia3https://github.com/harpreetbhatia/sv_practicePractice exercises for SystemVerilog, UVM …
RISCV-UVM-Verificationvatsal1843https://github.com/vatsal184/RISCV-UVM-VerificationNone
A-UVM-verification-for-DAC-and-ADC-model-with-APB-BFMalice8206213https://github.com/alice820621/A-UVM-verification-for-DAC-and-ADC-model-with-APB-BFMA UVM verification with a APB BFM (Bus functional model), connected to two write-only DAC and two read-only ADC slaves. The sequence generates addresses and allows the driver to tell the BFM which slave to choose. Subsequently four monitors and scoreboards record each slave’s test results.
async_FIFOdadongshangu3https://github.com/dadongshangu/async_FIFOThis asynchrounous FIFO deisgn and UVM verificaiton is one case study of me. The design is based on Cliff Cumming’s paper and the UVM is coded by me(Xianghzi Meng)
wishbone_uvcalexzhang0073https://github.com/alexzhang007/wishbone_uvcWishbone protocol open source universal verification component (UVC). It is easy to be used in UVM verification environment for opencpu.
yuu_clockseabeam3https://github.com/seabeam/yuu_clockUVM clock agent which frequency, duty cycle can be configured, clock slow and gating function are also available
uvmaravindprakash2https://github.com/aravindprakash/uvmUVM Examples
uvmSymbiFlow2https://github.com/SymbiFlow/uvmNone
UVM_Python_UVMCJoseIuri2https://github.com/JoseIuri/UVM_Python_UVMCThis repository contains an example of the connection between an UVM Testbench and a Python reference model using UVM Connect from Mentor®.
MAC_BFMjchengX2https://github.com/jchengX/MAC_BFMwifi
uvm_labchenfengrugao2https://github.com/chenfengrugao/uvm_laba pratical uvm lab
uvm_testbenchmowsong2https://github.com/mowsong/uvm_testbenchSoC Verification with UVM
UVM_UART_ExampleWeiChungWu2https://github.com/WeiChungWu/UVM_UART_ExampleAn UVM example of UART
apb_uvmchan-henry2https://github.com/chan-henry/apb_uvmAdvanced Peripheral Bus (APB) UVM testbench project
uvm-templatesnbrummel2https://github.com/nbrummel/uvm-templatesThis repo provides uvm templates to start a sv uvm project.
UVM_verificationganesh-ps2https://github.com/ganesh-ps/UVM_verificationNone
SimpleAdder-UVMtamannarupani2https://github.com/tamannarupani/SimpleAdder-UVMA simple adder implementation and verification using UVM 1.2
uvm-indirect-registersuwesimm2https://github.com/uwesimm/uvm-indirect-registersan infrastructure to implement arbitrary indirect registers on top of uvm
ExtremeDV_UVMzhajio19882https://github.com/zhajio1988/ExtremeDV_UVMUVM resource from github, run simulation use YASAsim flow
MPSoC-DVPacoReinaCampo2https://github.com/PacoReinaCampo/MPSoC-DVMPSoC verified with UVM/OSVVM/FV
cpukruegz2https://github.com/kruegz/cpuCPU design with SystemVerilog/UVM verification
UVM-Verification-Testbench-For-APBrdou2https://github.com/rdou/UVM-Verification-Testbench-For-APBNone
UVM-Testbench-for-Flex-Timerhrishikeshpujari2https://github.com/hrishikeshpujari/UVM-Testbench-for-Flex-TimerNone
UART-16550Shivanagender1232https://github.com/Shivanagender123/UART-16550This is UVM testbench for UART with multiple test cases.
SoC-DVPacoReinaCampo2https://github.com/PacoReinaCampo/SoC-DVSystem on Chip verified with UVM/OSVVM/FV
AHB_APB-BridgeShivanagender1232https://github.com/Shivanagender123/AHB_APB-BridgeThis is normal basic UVM testbench for AMBA Bridge AHB_APB
Shift_RegisterShivanagender1232https://github.com/Shivanagender123/Shift_RegisterThis is normal basic UVM testbench for shift register with reference model using queues in scoreboard and RTL
uvmakilystic1https://github.com/akilystic/uvmNone
UVMtyxuanyuanlx1https://github.com/tyxuanyuanlx/UVMNone
AHB-with-FIFOEmi-Pushpam1https://github.com/Emi-Pushpam/AHB-with-FIFOUVM methodology
basic_uvmc_octnelsoncsc1https://github.com/nelsoncsc/basic_uvmc_octA simple UVM testbench using UVM Connect and Octave
uvm_ahb_litezhelnio1https://github.com/zhelnio/uvm_ahb_liteuvm ahb lite environment
SMC_Verificationfifthheaven1https://github.com/fifthheaven/SMC_Verificationverify SMC via UVM
uvm_objectionsdcblack1https://github.com/dcblack/uvm_objectionsUVM Objection performance
uvm_uart_apb_envnguyensinhton9x1https://github.com/nguyensinhton9x/uvm_uart_apb_envuvm_ver_3
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值