![](https://img-blog.csdnimg.cn/20201014180756927.png?x-oss-process=image/resize,m_fixed,h_64,w_64)
数字IC设计工具
壹零捌
站在巨人的肩膀上
展开
-
电路时序波形绘制方法汇总
绘制电路时序波形的方法不同,各有优缺点。因人而异,但用起来舒服,快捷就是最好的方法,追求高效性。电路时序波形绘制方法1. Visio2. Timegen3. WaveDrom1. Visio对于熟练使用Visio的人来说,绘制时序波形和一般的框图没什么区别,这里提供几个模板。强迫症患者使用Visio无疑是最合适的,自己随意设计。百度网盘:https://pan.baidu.com/s/1w9CfY5YRF8yi-hcQzn5_-A提取码: 6rj52. Timegen官网:http:/.原创 2021-08-16 19:23:21 · 11862 阅读 · 2 评论 -
Simple UVM Testbench
在线写Verilog/SystemVerilog,在线仿真结果文档原创 2021-06-25 18:14:14 · 281 阅读 · 0 评论 -
可编程逻辑设计架构的形象化显示设计套件
1. Microsoft Visiohttps://www.microsoft.com/en-gb/microsoft-365/visio/flowchart-software2. draw.iohttps://app.diagrams.net/3. yEDhttps://www.yworks.com/products/yed4. Diahttp://dia-installer.de/5. OmniGrafflehttps://www.omnigroup.com/omnigraffle6原创 2021-05-26 08:53:05 · 327 阅读 · 2 评论 -
数字电路算术硬件的在线模拟器
挺有意思的工具,感兴趣可以玩玩官方网址:http://www.ecs.umass.edu/ece/koren/arith/simulator/使用实例:原创 2021-05-14 18:38:00 · 524 阅读 · 0 评论 -
DC
DC流程图一、 Develop HDL Files完成RTL级代码实现二、 Specify Libraries指定搜索路径search_path库文件target_library, link_library, symbol_library, synthetic_library三、 Read Designanalyze + elaborate允许设计者在设计的GTECH建立之前,首先分析设计的语法错误和进行HDL代码转换。analyze做语法检查,产生“.syn”文件存储在work原创 2021-03-18 20:46:48 · 937 阅读 · 0 评论 -
VCS代码覆盖率统计
VCS代码覆盖率1. VCS coverage off/on2.synopsys translate_off/on1. VCS coverage off/on告诉VCS对此段代码进行仿真,但不统计覆盖率。// VCS coverage offinitial begin $display("hello, verilog!");end// VCS coverage on2.synopsys translate_off/on告诉DC对此段代码不做综合,仿真工具可以进行仿真,但不统计代码覆原创 2020-12-04 12:37:21 · 1550 阅读 · 0 评论 -
DC启动文件说明.sysnopsys_dc.setup
DC上默认启动文件位于Synopsys安装目录中,随着工具的启动而自动加载。这些默认文件不包含设计相关的数据,功能是加载Synopsys与工艺库无关的库和其他函数,用户可在启动文件中指定与设计相关的数据。启动文件所在目录有三处:1.Synopsys安装目录;2.用户主目录;3.项目工作目录.Synopsys安装目录,$synopsys/admin/setup(default)用户主目录,~user(user’s general)项目工作目录.他们之间存在优先级:项目..原创 2020-08-02 16:46:55 · 1430 阅读 · 0 评论 -
DC 学习日志(1)
DC 学习记录 11. all_inpouts2. all_outputs3. all_registers4. all_clocks5. get_ports6. remove_from_collection7. sizeof_collection8. index_collection8. foreach_in_collection9. help * collection *10. man remove_from_collection11. list12. 系统环境变量1. all_inpouts原创 2020-07-14 15:48:09 · 519 阅读 · 0 评论 -
Synopsys系列软件License制作——亲测可用
Synopsys系列软件License制作1. synopsys-license产生文件(1)文件获取(2) 文件EFA LicGen 0.4b(3)文件 Synopsys SSS Feature Keygen2. 生成license3. 测试1. synopsys-license产生文件(1)文件获取synopsys-license链接: https://pan.baidu.com/s/147xXq4p1y1CzGS3rQQQbPg提取码: 74u4ps:也可去本人主页资源中下载,0积分。原创 2020-07-06 15:38:33 · 21149 阅读 · 9 评论