VHDL数据对象浅析

VHDL数据对象

数据对象(Data Objects)是指用来保存数据的客体单元,类似于一种容器,可以接收不同数据类型的赋值。
数据对象包括常量(CONSTANT)、变量(VARIABLE)、信号(SIGNAL)和文件(FILES)【文件为VHDL93新增,目前暂不进行介绍】四种类型。

1.常量 CONSTANT

常量是对某一常量名赋予一个固定的值,而且只能赋值一次 。通常赋值在程序开始前进行,该值的数据类型则在说明语句中指明 。常量定义的一般表达式如下:
CONSTANT 常数 名 :数 据 类 型 :=表达式;
在程序中,常数是一个恒定不变的值,一旦进行数据类型和赋值定义后,程序中就不能再改变,因此常量具有全局性意义
例如:

CONSTANT ZY : STD_LOGIC_VECTOR :=“010110”;
----定义常数ZY的数据类型是标准逻辑矢量 STD_LOGIC_VECTOR,它等于二进制数010110;
CONSTANT XY : INTEGER :=15;
----定义常数XY的数据类型是整数INTEGER,它等于15;

常量所赋的值应和定义的数据类型一致;常量在定义语句所允许的设计单元有实体、结构体、程序包、块、进程和子程序。 定义在程序包内的常量可供所含的任何实体 、构造体所引用,定义在实体说明内的常量只能在该实体内可见 ,定义在进程说明性区域中的常量只能在该进程内可见。变量定义的一般表达式如下:

2.变量 VARIABLE

变量是一个局部变量,只能在进程语句、 函数语句和过程语句结构中使用变量的赋值是直接的,非预设的, 分配给变量的值立即成为当前值 , 变量不能表达“连线” 或存储元件 ,不能设置传输延迟量。定义变量的一般表达式:
VARIABLE 变量名 :数 据 类 型 :=初始值;
例如

VARIABLE a : INTEGER RANGE 0 TO 15;
----定义变量a的数据类型是取值范围为0~15的整型变量;
VARIABLE b : STD_LOGIC :=‘1’;
----定义变量b是初始值为1的标准逻辑位数据类型;

变量赋值一般表达式:
目标变量名 := 表达式;

x:=10.0; ---- 实数变量赋值为10.0
Y:=1.5+x; ---- 运算表达式赋值 , 注意表达式必须与目标变量的数据类型相同
A(3 to 6):=(“1101”); – 位矢量赋值

3.信号 SIGNAL

信号是描述硬件系统的基本数据对象。表示逻辑门的输入或输出 ,类似于连接线 , 也可以表达存储元件的状态。信号具有全局特征,通常在构造体 、程序包和实体中定义,即在整个结构体中的任何位置,任何语句结构都可以获得同一信号的赋值 。信号作为一种数值的容器,不但可以容纳当前值,也可以保持历史值。
在进程和子程序的顺序语句中不允许定义信号,且在进程中只能将信号列入敏感表,可见进程对信号敏感,对变量不敏感。信号定义的一般格式:
SIGNAL 信号名 :数据类型 :=初始值;
例如:

SIGNAL clock :bit := ‘0’; ---- 定义时钟信号类型 , 初始值为0; SIGNAL count
:BIT_VECTOR(3 DOWNTO 0); – 定义count 为4位位矢量;

信号的赋值可以出现在一个进程中,也可以直接出现在结构体的并行语句结构中,但它们的赋值含义不一样。前者属于顺序信号赋值,进程中的赋值语句允许对同一目标信号进行多次赋值;后者属于并行信号赋值,其赋值操作是各自独立并行发生的,不允许对同一目标信号进行多次赋值。信号赋值的一般格式:
目标信号名 <= 表达式;
例如:

x<=9;
Z<=x after 5 ns; – 在5ns后将x的值赋予Z

本部分到此结束,其中介绍了VHDL数据对象的基本内容,若有错误还请各位批评指正。

  • 2
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值