vhdl中变量(variable)和信号(signal)的区别

2 篇文章 0 订阅

                        信号(signal)               变量(variable)
赋值:                 <=                                         : =

定义:            在结构体中                            在进程中

适用范围:    全局                                         某个进程中

延迟:            有                                                 无

赋值:           在进程结束时                          立即赋值

注意几点:

1、变量是在进程结束的时候赋值,所以你在进程中多次赋值只取最后一次

2、因为fpga是个process并行处理,所以一个变量只能在一个进程中赋值,否则会出错。

谢谢  onionbea1  指点,今天查看,发现自己的两个搞错了。现在已经改正,实在抱歉。

  • 14
    点赞
  • 23
    收藏
    觉得还不错? 一键收藏
  • 3
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值