用逻辑分析仪ILA分析PS端程序在PL端的硬件行为【注意事项】

文章目录

整体流程

  1. 将vivado里的工程加入逻辑分析仪到需要debug的端口,综合实现完成后得到比特流,送入SD卡。如果要查看AXI4-Full总线,这里需要注意system ILA里面有一个number of outstanding Read/Write Transactions,这个值如果太小,实际的ILA波形会显示Overflow
    送入SD卡的流程主要分两种情况:Petalinux流程和PYNQ流程。前者需要把比特流放置在boot分区(SD卡上的第一个分区),并修改BootLoader,后者只需要复制到板子的home目录即可。
  2. 板子的启动设置为SD卡启动。看看vivado能否检测到FPGA,如果不能,先换至JTAG启动,等能检测到了再换成SD卡启动。
    注意:SD卡启动过程中不要把JTAG线连至vivado,会启动失败
  3. 确定启动成功后还不能直接用vivado调试JTAG,有时候vivado烧写比特流的时候会导致CPU卡死,表现为串口和网口均无反映。这是因为Linux的cpuidle低功耗子模块发现Vivado的行为后将CPU关闭所致。解决方法需要修改UBoot的启动参数,在末尾添加cpuidle.off=1。为了实现这一点,有若干方法:
  • 如果拥有设备树源文件,直接在bootargs末尾加即可,例如:
/ {
   chosen {
      bootargs = " console=ttyPS0,115200n8 earlycon clk_ignore_unuse  cpuidle.off=1";  //举个例子,前面的选项替换为实际的args
   };
};
  • 或者在uboot命令行使用setenv bootargs ....... cpuidle.off=1,省略部分为原来的bootargs变量。只执行setenv是临时修改,想永久保存还需要再执行saveenv,设置完执行bootbootm即可正常启动。bootargs变量查看方式可以用 dmesg | grep command或者cat /proc/cmdline
  1. 接下来可以debug硬件了,在vivado的调试界面启动trigger,在串口或者网口启动需要debug的程序,vivado会在指定位置触发。
    注意ILA的时钟频率必须比JTAG的时钟频率高2.5倍,否则会报错。调整JTAG时钟频率的位置在Hardware Manager里,选中器件-properties-PARAM-FREQUENCY,如下图所示。
    JTAG频率
  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
ILA是指"集成逻辑分析仪",是一种在线调试工具,用于在FPGA设备上执行系统内的调试。它通过一个或多个探针来实抓取FPGA内部数字信号的波形,分析逻辑错误的原因,帮助进行调试工作。在Vivado中,ILA是一个集成在FPGA开发环境中的工具,可以方便地使用它来进行分析使用ILA工具可以实抓取FPGA内部的数字信号波形,并通过分析这些波形来定位和解决逻辑错误。相比于传统的仿真方法,ILA工具具有实性强、工作量小的优势,并且可以帮助验证代码的正确性和可靠性。ILA工具在FPGA工程师的职业中是必备的技能之一,它可以提高调试效率和准确性,减少调试间和工作量。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *2* [FPGA学习 Vivado使用篇之ILA逻辑分析仪)](https://blog.csdn.net/m0_53606280/article/details/123600212)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *3* [FPGA — Vivado下ILA(逻辑分析仪)详细使用方法](https://blog.csdn.net/unique_ZRF/article/details/127715565)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值