zynq7020官方评估板流水灯实验(PS给PL时钟)

1、资源

开发板:zynq7020(zc7z020clg484-2)

vivado:2019.1

2、基础结论

①官方评估版PL端无时钟clk,需要提供ps给pl端时钟

②开发板有几种启动方式,通过拨码开关控制,本文通过JTAG(10000)

 3、vivado基本流程

1、双击打开vivado2019.1

2、创建新工程

3、为新工程命名并指定路径

4、指定工程先不添加源文件,在工程内部再编辑

5、选择板子型号(xc7z020clg484-2)

6、create block design->OK

7、添加zynq

8、双击配置zynq(删除M_AXI_GP0 interface与FCLK_RESET0_N,让后OK退出)

9、自动布局布线并添加引脚(右击FCLK_CLK0,并make externel)

10、生成design_1文件,右击design_1,并general output products

其中的FCLK_CLK0_0即为PS端给PL的时钟

11、编写led的verilog代码

其中led_twinkel例化了design_1.v与led_M模块

module led_twinkle(
    //output
	output[7:0]led
);

parameter times = 28'b0010_1111_1010_1111_0000_1000_0000;

wire clk;//时钟 50M
design_1 u_design_1(
    .FCLK_CLK0_0(clk)
);
//assign led = 8'b0;

led_M #(.times(times)) u_led
    (
        .clk        (clk),
        .rst_n      (1'b1),
        .led        (led)
    );

endmodule

module led_M #(parameter times = 28'b1111_1111_1111_1111_1111_1111_1111)
    (
    input clk,
    input rst_n,
    output reg [7:0]led
    );
    
    reg [27:0]cnt = 28'b0;
    
    always@(posedge clk or negedge rst_n) begin
        if(!rst_n)
            cnt <= 28'b0;
        else 
        begin
            if(cnt >=times)
                cnt <= 28'b0;
            else
                cnt <= cnt + 1;
        end
    end
    
    always@(posedge clk or negedge rst_n) begin
        if(!rst_n)
            led <= 8'b0;
        else 
        begin
            if(cnt >=times)
                case(led)
                    8'b0000_0000: led <= 8'b0000_0001;
                    8'b0000_0001: led <= 8'b0000_0010;
                    8'b0000_0010: led <= 8'b0000_0100;
                    8'b0000_0100: led <= 8'b0000_1000;
                    8'b0000_1000: led <= 8'b0001_0000;
                    8'b0001_0000: led <= 8'b0010_0000;
                    8'b0010_0000: led <= 8'b0100_0000;
                    8'b0100_0000: led <= 8'b1000_0000;
                    8'b1000_0000: led <= 8'b0000_0000;
                    
                    default: led <= 8'b0000_0000;
                endcase
            else
                led <= led;
        end
    end
endmodule

12、分配引脚

13、生成bit文件

14、打开开发板,并下载bit文件,可以看到流水灯成功

4、gif展示

  • 1
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Xilinx FPGA Zynq 7020最小系统原理图是指Zynq 7020 FPGA芯片在最简化的系统上的电路连接图。该芯片是Xilinx公司的一款可编程逻辑器件,同时还集成了ARM处理器核。最小系统原理图展示了这个芯片与其他元件之间的连接方式和电路设计。 Zynq 7020最小系统原理图一般包含以下组成部分: 1. Zynq 7020 FPGA芯片:原理图中会标注芯片引脚的连接情况,如外部引脚与其他器件的接口连接。 2. ARM处理器核:Zynq 7020芯片内集成了ARM处理器核,原理图中会显示其与其他器件的连接,如存储器、外设设备等。 3. 存储器:原理图中会展示Zynq 7020芯片与各种存储器之间的连接关系,如DDR3内存、Flash存储器等。 4. 外设设备:原理图中会描绘与Zynq 7020芯片连接的各种外设设备,例如串口通信、以太网接口、USB接口等。 5. 时钟源:原理图中会显示为Zynq 7020芯片提供时钟的外部时钟源,如晶体振荡器或时钟发生器。 6. 电源管理:原理图中会显示供电芯片、稳压器等电源管理元件与Zynq 7020芯片之间的连接,确保芯片正常运行所需的电源条件。 7. 连接线和引脚:原理图会标注各种信号线、电源线以及引脚的连接方式和连接关系,以便设计者参考和布线。 从Zynq 7020最小系统原理图可以了解到芯片与其他外围器件的连接方式和电路设计,为开发者提供了设计和开发基于Zynq 7020芯片的嵌入式系统的参考和指导。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值