verilog的取余和除法

         用verilog做一个可以将整数的每一个位分离的模块

         比如一个两位数分成个位和十位

         我用了两种方法

1.除法取余

assign q=shuzi/1000;
assign b=shuzi/100%10;
assign s=shuzi/10%10;
assign g=shuzi%10;


2.除法减法乘法

assign q=shuzi/1000;
assign b=shuzi/100-q*10;
assign s=shuzi/10-q*100-b*10;
assign g=shuzi-q*1000-b*100-s*10;


整个工程综合出来发现取余会占用很多资源 把除法去掉(但是没有实现位分离的功能)

除法和取余真的很占资源   

感觉还是用一个算法比较好  二进制转换成BCD码  加三移位法


  • 9
    点赞
  • 42
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

大米粥哥哥

感谢认可!

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值