如何用verilog实现除法运算

1.求整数部分

求解m % 2^n的整数部分其实就是m右移n位。

例:10/8 =???

解:(10)B=1010 右移3位变成0001,所以整数部分为1

2.求余数

求解m % 2^n的余数可以将其转换为 m & (2^n-1) 或者 m-(m>>n)<<n

例:10% 8 =???

解:

1010>>3=0001

0001<<3=1000

1010-1000=0010

所以余数为2

3.求小数部分

求解m % 2^n的小数部分其实就是对其余数乘10^q,然后再除2^n,这里表示精确到小数点后q位。

例:10% 8 =???

解:10% 8的余数为2

  • 2*10=20

       20/8=2 (小数部分)        所以20/8=1.2

  • 2*100=200

        200/8=25(小数部分)     所以20/8=1.25

 

         

  • 4
    点赞
  • 32
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Verilog HDL语言中,除法运算可以通过使用相应的算法来实现。有两种常见的算法:基于减法操作和基于乘法操作的算法。 基于减法操作的算法是一种常见的实现除法运算的方法。在这种方法中,使用移位和减法操作来逐步逼近除法的结果。具体实现时,将被除数左移并与除数进行比较,如果大于等于除数,则减去除数并将结果累加到商中,然后再进行下一次的比较和减法操作,重复这个过程直到所有的位都被处理完。根据被除数和除数的位数不同,运算的时钟周期数和资源消耗也会有所变化。 下面是一个Verilog HDL语言实现的例子,用于将一个64位数除以一个32位数的除法器。该实现使用移位减法方式,可以方便地自动修改运算位数。具体的代码如下: ```verilog module DIV_32( input clk, input rst_n, input [31:0] a, input [31:0] b, output reg [31:0] yshang, output reg [31:0] yyushu ); reg [63:0] temp_a; reg [63:0] temp_b; integer i; always @(posedge clk or negedge rst_n) begin if (!rst_n) begin temp_a <= 64'h0; temp_b <= 64'h0; yshang <= 0; yyushu <= 0; end else begin temp_a = {32'h00000000, a}; temp_b = {b, 32'h00000000}; for (i = 0; i < 32; i = i + 1) begin temp_a = temp_a << 1; if (temp_a >= temp_b) temp_a = temp_a - temp_b + 1'b1; else temp_a = temp_a; end yshang <= temp_a[31:0]; yyushu <= temp_a[63:32]; end end endmodule ``` 这个例子是一个组合实现的除法器,使用了移位和减法操作来实现除法运算。该除法器可以通过时钟周期数来控制运算速度,同时也可以方便地修改运算位数。 总结起来,Verilog HDL语言中的除法运算可以通过使用相应的算法来实现,其中基于减法操作的算法是一种常见的方法。通过移位和减法操作,我们可以逐步逼近除法的结果,并将商和余数输出。以上是一个使用Verilog HDL语言实现的例子,用于将一个64位数除以一个32位数的除法器。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [Verilog--除法器的简单实现](https://blog.csdn.net/zhanshen112/article/details/116112507)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] - *2* [FPGA 64位除法器(Verilog)](https://download.csdn.net/download/XingouChen/13216328)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] - *3* [基本除法器的Verilog HDL实现](https://blog.csdn.net/qq_43133278/article/details/116899578)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值