【从零开始のIC学习笔记】形式验证(Formality)

什么是形式验证?

在IC设计中,需要通过DC工具将设计的RTL代码转换为网表,为了验证所生成的网表与RTL的功能是否一致,需要进行形式验证,形式验证是一种等价性检查

形式验证需要什么东西?

  • 参考设计(Reference Design)                    一般情况下指RTL
  • 待比较设计(Implementation Design)        指综合后的网表
  • 容器(Containers)        
    • 放入“r” -参考设计
    • 放入“i” -待比较设计
    • formality相关约束

什么时候需要做形式验证?

只要设计发生改变(对代码进行改动)

  • 综合前后                -RTL比对DC综合网表
  • DFT前后                - DC综合网表比对DFT网表
  • 物理优化前后(PR)  -DFT网表比对PR网表

形式验证是如何比较两个设计是否等价?

使用比较点(Comparie Point):比较输出是否正确

例如:输入10bit,即查看1024个输入对应的输出是否都正确相等

形式验证的流程

Step0-引导(Guidance):添加综合产生的.svf文件(在DFT前后与PR前后,不需要该步)

svf文件记录了综合所有的信息,包括:

  • Object name change
  • Constant register optimizations
  • Duplicate and merged refisters
  • Multiplier and divider architecture types
  • Datapath transformations
  • FSM re-encoding(Must be abled in Formality to be used)
  • Retimming
  • Register phase inversion

Step2a-读RTL(Read Referenece Dseign and Libs),并设置顶层

Step2b-读网表(Read Implementation Dseign and Libs),并设置顶层

Step3-设置约束(Set up),例如一些路径只走1端,就设置不走0,特别是DFT后,如dft_mode之类的引脚应当对于i文件和r文件都置0

Step4-匹配(Match)

Step5-比对(Verify)

形式验证工具

形式验证需要在fm_shell环境下进行,相关基本指令如下:

Typical Formality TCLK Script execation

fm_shell -f runme.fms |tee runme.log

Staring the GUI for UNIX

formality
或者
fm_shell -gui -f runme.fms |tee runme.log

 Staring the GUI  within  a batch seession

fm_shell -f (setup) > star_gui

To view other invocation options

fm_shell -help

形式验证脚本

#step_1:Guidance
set_svf    default.svf

#step_2a:Read Reference Design
read_verilog -r xxx.v
read_db -r xxx.db
set_top -r     xxx

#step_2b:Read Implementation Design
read_db -i xxx.db
read_verilog -i xxx.0.v   
set_top -i  xxx.v


#step_3:set up
set_constant i:WORK/XXX/DFT_MD 0     #设置i文件的端口常0
set_constant r:WORK/XXX/DFT_MD 0     #设置i文件的端口常0

set__dont_verify_point i:/WORK/xxx/xx/xx    #设置i文件中不需要verify的点

#step_4 & 5:Match and verify
match
report_matched -status unread > ../log/unread.rpt
report_unmatched > ../log/unmatched.rpt
report_balck_boxes > ./balck_box

verify
report_failing > ../log/failing.rpt
save_session ./formal -replace  

  • 3
    点赞
  • 40
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
### 回答1: 形式验证是一种通过数学方法来证明软件或硬件系统的正确性的技术。它可以帮助开发人员在设计和实现过程中发现和纠正错误,从而提高系统的可靠性和安全性。而debug则是指在软件或硬件系统中发现并修复错误的过程。在软件开发中,形式验证和debug都是非常重要的步骤,可以帮助开发人员确保系统的正确性和稳定性。 ### 回答2: Formality形式验证Debug是一种在计算机科学中广为使用的技术。它有助于确保我们所编写的代码符合我们所期望的结果,并能够在特定条件下正确地运行。 在编写代码过程中,我们经常会发现错误,这些错误可能是语法错误、逻辑错误或者是程序运行中的错误。而在程序运行时发现和修复这些错误非常困难并且耗时,因此我们需要一种更好的方法来检查和修复这些错误。 Formality形式验证Debug是一个解决这个问题的方法。它基于形式化验证技术来实现程序的正确性证明。简单来说,这种验证是基于数学原理的,可以帮助我们通过计算机验证我们所编写的程序在所有可能情况下都能正确地运行。 在Formality形式验证Debug中,我们需要将程序输入到一个验证工具中,该工具会自动分析程序的结构和逻辑,并生成一个证明来证明程序的正确性。这个证明可以用来确保该程序能够达到预期目标并且不出现错误。 值得注意的是,Formality形式验证Debug并不能完全避免所有的错误,但是它能大大减少可能出现的错误,并为我们提供更高效、更可靠的方法来开发代码和保证代码的正确性。 总之,Formality形式验证Debug是一种高效且可靠的方法来确保我们编写的程序能够正确运行。它可以极大地减少程序在运行时出现的问题,提高程序的质量,并使我们更加自信地将程序发布到生产环境中。 ### 回答3: 在软件开发中,formality形式验证debug是一种基于形式方法的软件验证技术,它可以通过形式化推理,对软件的正确性进行证明。formality形式验证debug的流程通常包括如下几个步骤: 1.形式规约:首先对软件系统进行形式化建模,确定其规范行为,包括输入、输出、状态转换等。这个步骤主要是为了在后续的验证过程中,建立正确的推理模型。 2.形式化推理:这一步骤是formality形式验证debug的核心步骤,它通过基于逻辑和数学的严格推理,对软件系统进行验证。具体的,可以用定理证明的方法,将规约后的软件系统描述成数学公式,然后利用逻辑推理对它们进行分析和验证。 3.错误定位:如果在验证过程中发现了错误或不一致,需要进一步定位错误和修复错误。在定位错误时,需要通过调试技术对代码进行追踪和分析。 4.验证结果:形式验证debug的最终结果是一个数学证明或反证,用来证明软件系统的正确性或者指出错误。如果发现了错误,需要进行相应的修改和验证,直到软件系统达到正确性标准。 总的来说,在软件开发过程中,formality形式验证debug能够极大地提高软件的正确性和可靠性,避免因为代码漏洞而导致的系统崩溃或者严重的安全问题,同时,它也能够为软件开发人员提供更高效的开发手段和优化程序的方向。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值