自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(2)
  • 资源 (2)
  • 收藏
  • 关注

原创 关于使用FPGA实现sin波形并读取ROM值得问题

在使用FPGA实现sin波形的时候采用查找表法比较简单,也就是读取ROM表的值

2017-11-17 11:09:17 6430 1

原创 verilog小笔记_文件的读取

在verilog语句中,对文件的操作函数有$readmemh和$readmemb函数来操作,两者的区别是前者读取的文件是十六进制的,后者是二进制的。用法有如下:比如对存储器rom进行初始化,将文件filename.dat中的数据读取到rom中,数据格式是十六进制的。         reg [7:0]rom[0:1024];         $readmemh("./filenam

2017-11-06 18:50:45 1490

FPGA开发过程中常见的警告

FPGA开发过程中常见的警告,对Verilog HDL编程有一定的帮助作用。

2018-07-12

I2C接口读写EEPROM

文档中是I2C接口的Verilog代码,并对EEPROM进行读写操作,利于FPGA开发学习

2018-07-12

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除