Verilog信号上升沿检测

在FPGA开发的面试中可能会碰到检测上升沿和下降沿的题目。以上升沿为例进行分析。
上升沿是信号从低电平变化为高电平的时候,因此我们可以将信号的上一个状态和下一个状态进行采样保存,然后来判断是都是从0变化到1的过程。代码如下:
  module posedge_detection(clk,rst_n,i_data_in,o_rising_edge);
input clk;
input rst_n;
input i_data_in;
output o_rising_edge;

reg r_data_in0;
reg r_data_in1;

assign o_rising_edge=r_data_in0&~r_data_in1;

always@(posedge clk or negedge rst_n)begin 
  if(rst_n==1'b0)begin 
     r_data_in0<=0;
      r_data_in1<=0;
  end
  else begin 
     r_data_in1<=r_data_in0;
      r_data_in0<=i_data_in;
  end
end
endmodule 

通过信号i_data_in和时钟、复位信号,可以仿真出正确的结果。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值