verilog小笔记_文件的读取

在verilog语句中,对文件的操作函数有$readmemh和$readmemb函数来操作,两者的区别是前者读取的文件是十六进制的,后者是二进制的。

用法有如下:

比如对存储器rom进行初始化,将文件filename.dat中的数据读取到rom中,数据格式是十六进制的。

         reg [7:0]rom[0:1024];

         $readmemh("./filename.dat",rom);

如果需要存入在存储器中的一段地址中,那么可以如下写:

        $readmemh("./filename.dat",rom,10,50);


同时在文件中写的数据可以包含地址:

@address vaule

比如写着 @100 67h

这种情况下数据就被读入指定的地址单元中进行初始值赋值。



  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值