Verilog 求最大公约数(gcd)

题目描述

求两个数之间的最大公约数。

第一次编码

求最大公约数的算法是简单的,直接用辗转相除法,它的基本算法来自于下面这段c代码:
C

下面展示了第一次写出的代码,这段代码有一个致命缺陷。

module find_gcd
  (
    input      [7 : 0] data_a,
    input      [7 : 0] data_b,
    input              clk,
    input              rst_n,
    output reg         gcd_valid,
    output reg [7 : 0] gcd,
//------------<temporary output>-----------------
    output             current_state,
    output             buffer_a,
    output             buffer_b
  );
  localparam IDLE = 2'b00,
             COMP = 2'b01,
             CALC = 2'b10,
             RESU = 2'b11;
  
  reg [7 : 0] r, t,
              buffer_a, buffer_b;
  reg [1 : 0] current_state, next_state;

  always@(posedge clk or negedge rst_n)
  begin
    if(!rst_n)
      current_state <= IDLE;
    else
      current_state <= next_state;
  end

  always@(*)
  begin
    case(current_state)
      IDLE: begin
            gcd_valid = 0;
            gcd = 0;
            buffer_a 
  • 6
    点赞
  • 29
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值