自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(35)
  • 资源 (1)
  • 收藏
  • 关注

原创 五级流水线cpu,可综合

modelsim仿真:rtl级:dc综合成的电路:

2023-04-26 14:00:22 113

原创 状态机出错,verilog

【代码】状态机出错,verilog。

2023-03-30 09:45:16 169

原创 超前进位加法器

2023-03-15 16:17:22 88

原创 【无标题】

00

2023-03-05 16:04:12 64

原创 异步fifo判断空满

异步fifo判断空满

2022-10-26 16:46:12 230

原创 verilog 最大公约数和最小公倍数

verilog 最大公约数和最小公倍数

2022-10-25 08:52:24 297

原创 DFF D触发器

DFF

2022-10-21 16:49:05 476

原创 原因是这样,所谓去除毛刺,就是要避免毛刺的产生,那么得先明白毛刺是如何产生的,其实只要两个时钟相反时切换都换产生毛刺

原因是这样,所谓去除毛刺,就是要避免毛刺的产生,那么得先明白毛刺是如何产生的,其实只要两个时钟相反时切换都换产生毛刺

2022-10-21 16:43:33 135

原创 verilog 流水线乘法,generater用法

verilog 流水线乘法,generater用法

2022-10-20 13:35:00 155

原创 Verilog rst

verilog

2022-10-18 11:00:55 444

原创 keil 的疑难杂症

keil

2022-10-15 16:50:47 104

原创 同步fifo

.

2022-09-09 15:38:19 49

原创 No Browse Information available

点魔法棒选output, 勾选browse information,点build

2022-05-29 16:29:40 207

原创 Error: Flash Download failed - Could not load file ‘..\OBJ\Template.axf‘

keil编译出错了,产生不了烧入单片机的文件。

2022-05-29 16:25:40 4797 1

原创 fpga vivado fft/ifft ip核实现

`timescale 1ns / 1psmodule fft( input aclk, input aresetn, output [7:0] fft_real, output [7:0] fft_imag, output [7:0] ifft_real, output [7:0] ifft_imag ); //DDS core wire [15:0] dds_m_data_tdata; wire fft_s_data_.

2022-05-09 18:38:38 780

原创 FPGA vivado FFT/IFFT/DDS

问:为什么仿真的数据只能显示15ns,但是仿真设置的是2000ns答:areset需要至少两个周期之后置1如:

2022-05-09 18:34:58 242

原创 stm32 keil

出现字符nan,原因1,出现非数字,或者无穷大是数字,原因2,malloc构造空间程序在循环体内,而有的空间没有在循环体内释放,单片机空间用完了。

2022-04-29 17:40:52 1507

原创 verilog

第一种是电平触发,例如always @(a or b or c),a、b、c均为变量,当其中一个发生变化时,下方的语句将被执行;第二种是沿触发,例如always @(posedge clk or negedge rstn),即当时钟处在上升沿或下降沿时,语句被执行。第三种:always@(*)中的*是指该always块内的所有输入信号的变化为敏感列表...

2022-04-11 15:48:58 49

原创 串口发送,中心处理,接收,mosulesim

//串口发送模块`timescale 1ns/10psmodule uart_tx( clk, res, data_in, en_data_in, TX, rdy ); input clk; input res; input[7:0] data_in;//zhun befasongde shuju input en_data_in;//fasongshinneg output TX; output rdy;//kogxianbiaozhi 0bioashikongxi.

2022-04-10 10:42:57 224

原创 MODULSEM VERILOG 串口发送模块

//串口发送模块`timescale 1ns/10psmodule uart_tx( clk, res, data_in, en_data_in, TX, rdy ); input clk; input res; input[7:0] data_in;//zhun befasongde shuju input en_data_in;//fasongshinneg output TX; output rdy;//kogxianbiaozhi 0bioashikongxi.

2022-04-09 10:08:06 88

原创 Verilog 梯形波

//三角波发生器`timescale 1ns/10psmodule tri_gen( clk, res, d_out);input clk,res;output d_out;reg[1:0] state;reg[8:0] d_out;reg[7:0] con;always@(posedge clk or negedge res) if(~res) begin state<=0; d_out<=0; .

2022-04-08 09:30:44 448

原创 Verilog 三角波

//三角波发生器`timescale 1ns/10psmodule tri_gen( clk, res, d_out);input clk,res;output d_out;reg state;reg[8:0] d_out;always@(posedge clk or negedge res) if(~res) begin state<=0; d_out<=0; end el.

2022-04-08 09:08:26 541

原创 verilog 11

`timescale 1ns/10psmodule nand_gate_4bits( A, B, Y );input[3:0] A;input[3:0] B;output[3:0] Y; assign Y =~(A&B);endmodule module nand_gate_4bits_tb;reg[3:0] aa,bb;wire[3:0] yy;nand_gate_4bits nand.

2022-04-08 09:05:44 47

原创 verilog 相邻点累加。

`timescale 1ns/10psmodule sigma_16p( clk, res, data_in, syn_in, data_out, syn_out);input clk,res, syn_in;//sys采样时钟input[7:0] data_in;//采样信号output syn_out;//累加结果同步脉冲output[11:0] data_out;//累加结果reg sys_in_n1;//采样时钟的反向延时reg[.

2022-04-08 08:31:11 324

原创 verilog 10

`timescale 1ns/10psmodule nand_gate( A, B, Y );input A;input B;output Y; assign Y =~(A&B);endmodule module nand_gate_tb;reg aa,bb;wire yy;nand_gate nand_gate( .A(aa), .B(bb),.

2022-04-07 20:50:46 259

原创 verilog 9

`timescale 1ns/10psmodule m_gen(clk,res,y);input clk;input res;output y;reg[3:0] d;assign y=d[0];always@(posedge clk or negedge res)if(~res) begin d<=4'b1111;endelse begin d[2:0]<=d[3:1]; d[3]<=d[3]+d[0]; end endmodule modul.

2022-04-07 20:49:57 35

原创 verilog 7

`timescale 1ns/10psmodule con( clk, res, y); input clk; input res; output[7:0] y; reg[7:0] y; wire[7:0] sum; assign sum=y+1;always@(posedge clk or negedge res)if(~res) begin y<=0; endelse be.

2022-04-07 20:49:22 38

原创 verilog 6

`timescale 1ns/10psmodule seg_dec(num,a_g);input[3:0] num;output[6:0] a_g;reg[6:0] a_g;always@(num) begincase(num) 4'd0:a_g<=7'b111_1110; 4'd1:a_g<=7'b011_0000; 4'd2:a_g<...

2022-04-07 20:48:45 78

原创 verilog 5

`timescale 1ns/10psmodule fn_sw_4(a,b,sel,y);input a;input b;input[1:0] sel;output y;//assign y = sel?(a^b):(a&b);reg y;always@(a or b or sel)begin case(sel)2'b00:begin y<=a&b;end2'b01:begin y<=a|b;end2'b10:begin y<=a^b;.

2022-04-07 20:48:14 57

原创 verilog 4

`timescale 1ns/10psmodule inv( A, Y );input A;output Y;assign Y=~A;endmodulemodule inv_tb;reg aa;wire yy;inv inv( .A(aa), .Y(yy) ); initial begin aa<=0; #10 aa<=1; #10 aa<=0; #10 aa&.

2022-04-07 20:47:30 45

原创 verilog 3

`timescale 1ns/10psmodule comp_conv(a,a_comp);input[7:0] a;output[7:0] a_comp;wire[6:0] b;wire[7:0] y; assign b =~a[6:0];assign y[6:0]=b[6:0]+1;assign y[7]=a[7];assign a_comp=a[7]?y:a;endmodulemodule comp_conv_tb;reg[7:0] a_in;wire[7:.

2022-04-07 20:46:32 38

原创 verilog 训练2

`timescale 1ns/10psmodule fn_sw(a,b,sel,y);input a;input b;input sel;output y;//assign y = sel?(a^b):(a&b);reg y;always@(a or b or sel)begin if(sel ==1)beginy<=a^b;endelse beginy<=a&b;endendendmodulemodule fn_sw.

2022-04-07 20:45:35 41

原创 verilog 训练1 计数器

`timescale 1ns/10psmodule s_counter( clk, res, s_sum);input clk,res;output[3:0] s_sum;parameter frequency_clk=24; reg[24:0] con_t;reg s_pulse;reg[3:0] s_sum;always @(posedge clk or negedge res) if(~res) begin co.

2022-04-07 20:42:50 221

原创 modulesi,verilog错误

near "clk": syntax error, unexpected IDENTIFIER, expecting or or ')' or ','原因:posedge拼写错误也有可能是negedge拼写错误。

2022-04-07 20:41:03 297

原创 modulesim

# ** Error: (vlog-13069) D:/UltraEditv26.1/m_gen.v(26): near "EOF": syntax error, unexpected end of source code.原因是:没加endmodule.

2022-04-06 18:00:13 1606

可综合的五级流水线32位cpu,包括仿真代码

可综合的五级流水线32位cpu,包括仿真代码

2023-05-06

00000000000000流水线cpu修正01

00000000000000流水线cpu修正01

2023-04-25

五级流水线cpu修正版

五级流水线cpu修正版

2023-04-25

三种cpu,单周期多周期流水线

三种cpu,单周期多周期流水线

2023-04-25

stm32103 BMP180 气压海拔

stm32103 BMP180 气压海拔

2022-10-16

stm32103 BMP180 气压海拔

stm32103 BMP180 气压海拔

2022-10-16

fpga vivado fft/ifft ip核实现

具体代码可见同名文章

2022-05-09

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除