SV中的接口

一、什么是接口

  • 接口可以用作设计,也可以用作验证。
  • 在验证环境中,接口可以使得连接变得简洁而不易出错。
  • interface和module使用性质很像,它可以定义端口,也可以定义双向信号,它可以使用initial和always,也可以定义function和task。
  • interface可以在硬件环境和软件环境中传递,如module中的端口列表和软件方法中的形参。
  • 可以把interface看作一个“插排”,而DUT与TB之间的数据驱动关系都可以使用interface这个“插排”来完成。

二、接口的优势

  • 将有关信号封装在同一个接口中,对于设计和验证环境都便于维护和使用。
  • interface是SV中唯一的硬件和软件环境的媒介交互。
  • 接口可以例化,使得对于多组相同的总线,在例化和使用时变得更加灵活。

三、接口的定义和使用

  • interface的端口列表中只需要定义时钟、复位等公共信号,或不定义任何端口信号,转而在变量列表中定义各个需要跟DUT和TB连接的logic变量。
  • interface也可以依靠函数参数化方式提供复用性。
  • interface在例化时,同module例化方式一样。
  • 模块里面可以例化模块,也可以例化interface,interface里面可以例化interface但不能例化module。
  • 0
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值