多通道CIC抽取滤波器Vivado CIC IP核实现实现(3)

多通道CIC抽取滤波器Vivado CIC IP核实现实现(3)
前面写了CIC滤波器原理实现MATLAB仿真CIC抽取滤波器MATLAB仿真和FPGA实现(1) https://blog.csdn.net/qq_40052606/article/details/109207645?spm=1001.2014.3001.5501
和FPGA代码的实现 CIC抽取滤波器MATLAB仿真和FPGA实现(2)
https://blog.csdn.net/qq_40052606/article/details/109207744?spm=1001.2014.3001.5501
在实际应用中采用CIC Compiler(4.0)实现更加灵活,但在使用IP核前需要利用MATLAB进行仿真分析后再去使用能做到有的放矢。
在对IP核配置时需要了解每个选项的功能核作用。CIC的IP配置主要集中在第一个界面,如下图
在这里插入图片描述
这个图包含了丰富的信息。左侧半部三个选项卡分别显示了接口、滤波器性能、端口数据结构。右侧主要包含滤波器参数设置、接口设置、滤波器参数设置汇总。
首先设置滤波器基本参数(filter specification)
滤波器类型(Filter Type):设置CIC滤波器模式为抽取Decimation或插值Interpolation; 级联数目(Number Of Stages):设置级联的CIC滤波器级数;
差分延时(Differential Delay):设置差分延时,IP核只能设置为1或者2;
通道数(Numebr Of Channels):设置多通道滤波器。
在上述的参数设置参照GUI手册如下图:其中R这个系数的设置在数据速率部分。
在这里插入图片描述
采样速率设置(sample rate)
这里可以选择可程序配置和固定参数类型,其中抽取或者插入系数就对应R值,这里设置为6,即6倍抽取。
硬件采样处理规范(hardware oversampling specification)选项卡主要是配置输入数据速率,CIC处理速率。
输入采样率(input sample frequncy)就是输入信号的采样频率。
时钟频率(clock frequncy )就是进行运算时的频率。
接口参数设置选项卡里主要对输入位宽、是否全精度计算、是否采用DSP48模块、是否采用流接口等参数。
在完成上面的设置后就完成了CIC滤波器参数的设置。然后可以通过左侧选项卡查看滤波器性能参数。
这部分可以看出滤波器的滤波性能,比如通带纹波、第一级旁瓣衰减等信息。
不同级联数N滤波器性能对比:
在这里插入图片描述
级联数位相同抽取数不同时滤波器性能对比:
在这里插入图片描述
通过上面的设置完成了IP核的配置。
在进行仿真时通过DDS产生两路频率分别为1.5K和42K的正弦信号进行叠加作为滤波器的输入信号,其中在42K滤波器对信号衰减为-39dB。
在这里插入图片描述
从下面仿真可以看出多通道数据的输入和输出时序。由于是采用16通道输入,在IP进行计算时DSP并没有在整个时间段工作,因而在消耗相同硬件资源的情况下可以提升输入路数来加大数据的处理能力。在一个周期内的时序如下图:
在这里插入图片描述
通过对CIC滤波器核的使用可知在多通道处理资源消耗情况上,通道数越多,评价到单个通道消耗资源越少,但相应的需要的时间越长。
实事求是来说不使用IP核来实现CIC滤波器,采用自己编码的方式来实现方式更加灵活和节省资源。

  • 2
    点赞
  • 45
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值