UVM_transaction和UVM_sequence_item区别

UVM_sequence_item扩展至UVM_transaction,即tran是item的父类。

UVM_sequence_item增加了m_sequencer和m_parent_sequence两位成员,分别代表sequence挂在的sequencer和UVM_sequence_item所存在的层级。

m_sequencer是经常会使用的成员,我想这个原因是大部分事物级建模使用UVM_sequence_item的缘故吧。

另外还增加了一些UVM_tran不支持的函数,比如在使用uvm_report功能时,扩展至UV

M_sequence_item的事物可以通过report打印出来其层次关系等信息

  • 1
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值