做一个优雅的美男子
我命由我不由天
展开
-
【AMBA总线三部曲】APB协议理解
AMBA总线APB介绍原创 2023-12-05 16:49:53 · 458 阅读 · 0 评论 -
【AMBA总线三部曲】AXI协议理解
这篇文章让你必须了解AXI,通俗易懂,一看就懂!原创 2023-08-04 16:20:49 · 581 阅读 · 0 评论 -
【AMBA总线三部曲】AHB协议理解
AHB协议理解,看这一篇文章就会了。原创 2023-07-19 20:10:08 · 1490 阅读 · 0 评论 -
【汽车功能安全】CPU lockstep技术浅析
汽车功能安全原创 2023-06-08 15:07:09 · 3151 阅读 · 0 评论 -
【汽车功能安全】ARM Cortex-M3内核理解
ARM Cortex-M内核处理器框架学习原创 2023-05-24 10:27:20 · 2906 阅读 · 0 评论 -
【System Verilog and UVM基础入门4】程序和接口
system verilog基础概念整理原创 2024-05-31 16:41:06 · 202 阅读 · 0 评论 -
【AMBA总线三部曲】常见AXI接口时序
AXI总线接口时序原创 2024-05-23 14:36:32 · 83 阅读 · 0 评论 -
【汽车功能安全】NXP SKXX之STCU
国际标准化组织26262 safety之STCU介绍原创 2024-05-08 20:27:51 · 176 阅读 · 0 评论 -
【汽车功能安全】单端口RAM&双端口RAM
FPGA设计原创 2024-04-29 15:59:52 · 57 阅读 · 0 评论 -
【System Verilog and UVM基础入门29】refm之二分法
算法,如此简单。原创 2024-04-19 09:37:14 · 66 阅读 · 0 评论 -
【System Verilog and UVM基础入门30】refm之冒泡算法
每天一道编程题,提高自己的逻辑思维。原创 2024-04-13 14:57:01 · 78 阅读 · 2 评论 -
【System Verilog and UVM基础入门23】SVA语法
System verilog断言原创 2024-01-11 11:30:11 · 510 阅读 · 0 评论 -
【System Verilog and UVM基础入门22】SVA语法
父亲经常告诉我要夹着尾巴做人。原创 2024-01-09 16:43:43 · 765 阅读 · 0 评论 -
【System Verilog and UVM基础入门26】Cadence工具的使用
Cadence的工具使用,如何收集代码覆盖率原创 2024-01-08 17:01:33 · 605 阅读 · 0 评论 -
【System Verilog and UVM基础入门24】功能覆盖率
UVM验证中功能覆盖率原创 2024-01-08 11:23:45 · 1416 阅读 · 2 评论 -
【System Verilog and UVM基础入门25】Cadence工具的使用
Vmanager使用第一讲原创 2024-01-05 17:19:45 · 579 阅读 · 0 评论 -
【工作必备】SVN/GIT使用说明
SVN基本操作原创 2024-01-02 19:15:07 · 382 阅读 · 0 评论 -
【工作必备】linux快速上手
简单记录,欢迎大家批评指正,共同进步!原创 2022-06-09 15:12:26 · 135 阅读 · 0 评论 -
【工作必备】self-assessment
self-assessment原创 2022-03-11 09:53:15 · 839 阅读 · 0 评论 -
【工作必备】出国常用必备英语口语
出国旅行必备技能转载 2022-03-12 12:45:41 · 793 阅读 · 0 评论 -
【程序人生】男人减速带
人间烟火味转载 2022-03-12 13:07:31 · 287 阅读 · 0 评论 -
【汽车功能安全】Verilog实现简单的总线轮训仲裁器
verilog语言学习原创 2021-10-15 16:56:20 · 1704 阅读 · 0 评论 -
【汽车功能安全】vivado版本更换及IP升级
VIVADO版本升级,IP也要升级!step3, Upgrade Selectedstep4, okstep5, okstep6, red lock disappear,and wait little time.then click ok! Don't care these warning.click原创 2021-11-02 10:26:39 · 5186 阅读 · 1 评论 -
【汽车功能安全】ECC校验原理
一文读懂ECC校验理解原创 2023-07-22 08:10:25 · 2387 阅读 · 2 评论 -
【工作必备】STM32嵌入式学习
嵌入式的世界你不懂,野火开发板学习资料整理原创 2023-06-02 07:32:02 · 98 阅读 · 0 评论 -
【System Verilog and UVM基础入门1】验证World
父亲告诉过我们,知识要用来分享,用来传播。只有大家都进步了,才能推动整个行业的发展!原创 2022-03-12 11:27:11 · 190 阅读 · 2 评论 -
【System Verilog and UVM基础入门2】知识菁华
书山有路勤为径,苦海无涯苦作舟原创 2022-03-10 11:42:13 · 395 阅读 · 0 评论 -
【System Verilog and UVM基础入门3】数组的理解
写这篇文章的主要原因和动机是,告诉自己曾经也写过代码~原创 2021-10-15 16:29:08 · 461 阅读 · 0 评论 -
【System Verilog and UVM基础入门4】phase机制
一文看懂phase机制原创 2023-08-08 16:36:44 · 138 阅读 · 0 评论 -
【System Verilog and UVM基础入门8】约束
SV约束常见方法整理原创 2023-07-22 14:31:54 · 606 阅读 · 0 评论 -
【System Verilog and UVM基础入门6】UVM寄存器模型
一篇文章帮助你掌握前门访问和后门访问原创 2022-02-22 11:12:36 · 2381 阅读 · 0 评论 -
【System Verilog and UVM基础入门11】事件,旗语,邮箱
事件,旗语,邮箱原创 2022-03-11 16:42:25 · 163 阅读 · 0 评论 -
【System Verilog and UVM基础入门8】factory机制
factory原创 2023-07-21 17:11:33 · 241 阅读 · 0 评论 -
【System Verilog and UVM基础入门27】UVM Virtual Sequence
面向多个sequence如果需要同时挂载到sequencer时,那就面临着仲裁的需要,uvm_sequencer自带有仲裁特性。//sequence_and_sequencerclass bus_trans extends uvm_sequence_item; rand int_data; `uvm_objects_utils_begin(bus_trans) `uvm_field_int(data,UVM_ALL_ON) `uvm_object_utils_endendc..原创 2022-03-14 14:27:18 · 226 阅读 · 0 评论 -
【System Verilog and UVM基础入门28】uvm sequence实战
uvm sequence项目中的应用。原创 2023-07-19 16:18:34 · 624 阅读 · 0 评论 -
【System Verilog and UVM基础入门13】消息的打印及过滤
学到的,就要教人,赚到的,就要给人!原创 2021-10-12 10:48:53 · 783 阅读 · 0 评论 -
【System Verilog and UVM基础入门16】squence的层次化
揭开virtual sequence的神秘面纱原创 2022-03-14 16:49:11 · 325 阅读 · 1 评论 -
【System Verilog and UVM基础入门15】sequence
layering sequence原创 2022-03-14 19:32:48 · 172 阅读 · 0 评论 -
【System Verilog and UVM基础入门14】uvm_config_db()
All of the functions in uvm_config_db#(T) are static, so they must be called using the :: operator. For example:uvm_config_db#(int)::set(this, "*", "A");The parameter value “int” identifies the configuration type as an int property.The set andget..原创 2021-10-13 15:06:44 · 322 阅读 · 0 评论 -
【System Verilog and UVM基础入门10】线程的用法
线程的一些基本概念理解,欢迎大家交流学习~原创 2022-03-10 15:03:14 · 166 阅读 · 0 评论