自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(20)
  • 收藏
  • 关注

原创 笔试|面试|FPGA知识点大全系列(14)FPGA的低功耗设计

嗨,今天来学习关键路径与路径优化相关问题~微信关注《FPGA学习者》获取更多精彩内容对于FPGA或IC设计来说,功耗是一个绕不开的话题,今天我们就来看看,什么是FPGA的功耗?功耗包含哪些部分?又该怎么去降低这些功耗呢?总的来说,FPGA的功耗主要包含两大部分:静态功耗和动态功耗;静态功耗:在关断主电源或系统进入待机模式下产生的电流称为待机电流,由待机电流产生的功耗称之为:待机功耗,即静态功耗。当然,静态功耗也包括电路中由晶体管的漏电流所导致的功耗。动态功耗:动态功耗是门电路输出切换时,由逻辑转换所引起的

2022-12-07 10:15:19 1457 1

原创 笔试|面试|FPGA知识点大全系列(13)关键路径与路径优化

嗨,今天来学习关键路径与路径优化相关问题~微信关注《FPGA学习者》获取更多精彩内容以下文章来源于FPGA探索者 ,作者FPGA探索者参考:(1)华为:静态时序分析与逻辑设计(2)王敏志:FPGA设计实战演练(高级技巧篇)公众号内回复【关键路径】获取两个资料。关键路径通常是指同步逻辑电路中,组合逻辑时延最大的路径(这里我认为还需要加上布线的延迟),也就是说关键路径是对设计性能起决定性影响的时序路径。对关键路径进行时序优化,可以直接提高设计性能。对同步逻辑来说,常用的时序优化方法包括Pipeline、

2022-12-07 09:58:37 1161

原创 笔试|面试|FPGA知识点大全系列(12)复位电路设计

嗨,今天来学习复位电路设计相关问题~微信关注《FPGA学习者》获取更多精彩内容前面在时序分析中提到过亚稳态的概念,每天学习一点FPGA知识点(9)之时序分析并且在电路设计中如果不满足Tsu(建立时间)和Th(保持时间),很容易就出现亚稳态;在跨时钟域传输的一系列措施也是为了降低亚稳态发生的概率。今天再说另一个可能会产生亚稳态问题的电路;复位电路;在正式开始复位电路设计之前,先说明两个概念,恢复时间(recovery time)和移除时间(removal time)。[注,此处以低电平复位为例]恢复时间:

2022-12-07 09:46:12 321

原创 笔试|面试|FPGA知识点大全系列(11)跨时钟域问题大全解(下)

嗨,嗨,来继续学习CDC相关问题~微信关注《FPGA学习者》获取更多精彩内容

2022-11-02 21:28:34 840

原创 笔试|面试|FPGA知识点大全系列(10)跨时钟域问题大全解(上)

嗨,今天来继续学习FPGA相关知识点~微信关注《FPGA学习者》获取更多精彩内容。

2022-11-02 21:02:25 1828

原创 笔试|面试|FPGA知识点大全系列(9)FIFO深度计算详解

嗨,今天来继续学习FPGA相关知识点~FIFO的最小深度问题,可以理解为两个模块之间的数据传输问题;只有在读取速度慢于写入速度的情况下,我们才需要一个FIFO,来暂时的寄存这些没有被读出去的数据;一个最主要的逻辑思想是:确定FIFO的大小,就是要找到在写入过程中没有被读取的数据的个数;即FIFO的深度等于未被读取的数据的数量。现在考虑一种实例,A时钟域数据发往B时钟域,将会出现以下几种情况:例如:写入频率fa = 80MHz,读取频率fb = 50MHz。突发长度即要写入的数据数目为120个。计算如下:写

2022-06-15 17:57:54 2239 3

原创 笔试|面试|FPGA知识点大全系列(8)之时序分析

相信很多人在此之前对时序分析、时序约束、建立时间、保持时间等相关名词已经听过很多遍了,而且也几乎都认同时序约束对于保证一个系统的可靠运行起着至关重要的作用,那为什么时序约束能够解决这些问题?时序约束的原理又是什么呢?我们一起来,慢慢探讨。FPGA即现场可编程门阵列,一个典型的FPGA内部通常包括三类基本资源:①可编程逻辑功能块:是实现用户功能的基本单元,多个逻辑功能块通常规则地排成一个阵列结构,分布于整个芯片;Alte...

2022-06-06 17:23:32 757

原创 笔试|面试|FPGA知识点大全系列(7)异步FIFO设计

文章目录前言37.异步FIFO的设计1️⃣先从同步FIFO说起2️⃣异步FIFO介绍3️⃣空满判断4️⃣跨时钟域问题5️⃣关于格雷码的转换6️⃣代码实现异步FIFO7️⃣几点思考8️⃣写在后面本文参考往期精彩前言嗨,来啦,今天学习一个,比较难的知识点吧~37.异步FIFO的设计

2022-05-21 14:48:20 1452 1

原创 笔试|面试|FPGA知识点大全系列(6)

文章目录前言27.时钟抖动jitter/时钟偏移skew28.EDA开发工具29.时序约束30.DMA31.乒乓buffer32. BRAM/DRAM33.设计描述方式34.延迟设计35.DDR带宽计算总结前言哈喽,感谢你每天的陪伴,今天照例学点什么再走吧~本文首发于微信公众号<FPGA学习者>27.时钟抖动jitter/时钟偏移skewjitter:由于晶振本身稳定性,电源以及温度变化等原因造成了时钟频率的变化,就是jitter,指的是时钟周期的变化,也就是说时钟周期在不同的周期

2022-05-20 17:22:58 803

原创 笔试|面试|FPGA知识点大全系列(5)

`嗨,你来了,来了就带点东西走吧,比如:带走下面的知识~21.FPGA组成三要素①可编程逻辑功能块,CLB;②片内互联线,(Programmable Interconnect);③可编程输入输出块(I/O);1️⃣CLBCLB是FPGA内

2022-05-14 20:24:10 814

原创 笔试|面试|FPGA知识点大全系列(4)

19.IC设计流程1)确定项目需求首先做一款芯片需要有市场,有了市场的需求我们就可以设计芯片的spec(规格说明)了。先由架构工程师设计架构,确定芯片的功能,然后用算法进行模拟仿真,最后得出一个可行的芯片设计方案。有了芯片的spec,下一步就可以做RTL codin

2022-05-14 14:34:37 1081

原创 笔试|面试|FPGA知识点大全系列(3)

文章目录前言13.对FPGA开发的理解14.FPGA内部资源15.跨时钟域处理单bit信号1)电平检测:2)边沿检测:3)脉冲同步:对于多bit的异步信号16.Mealy型/Moore型时序电路17.有限状态机FSM设计18.FPGA开发工具总结前言嗨,感谢你百忙之中又点进了本公众号,既然来了,学点东西再走吧~本文首发于微信公众号“FPGA学习者”,更多精彩内容敬请关注。13.对FPGA开发的理解目前FPGA的应用主要是三个方向:第一:传统方向,主要用于通信设备的高速接口电路设计这一方向主

2022-05-10 15:02:35 757

原创 笔试|面试|FPGA知识点大全系列(2)

本文接笔试|面试|FPGA知识点大全系列(1)本文首发于公众号:FPGA学习者,更多精彩内容请关注微信公众号。

2022-05-10 14:47:16 1012

原创 和你一起从零开始写RISC-V处理器(4)

RISC-V指令添加中的若干小细节文章目录RISC-V指令添加中的若干小细节上期回顾一、一个小问题二、其他小细节1.数值比较2.逻辑移位3.算术右移4.提高代码利用率5.立即数处理总结往期精彩:上期回顾在上一期,着重讲解了流水线冲刷机制以及BNE指令的添加过程;其实当指令跳转的框架搭好之后,指令的添加都大同小异,无非看指令格式来确定id译码模块如何译码,看指令功能来确定ex执行模块的功能编写。上期的最后说到,B站@外瑞罗格的程序或许有点小问题,当然了,问题不大,不影响功能;今天来说一说这个小问题

2022-05-09 15:49:23 704

原创 和你一起从零开始写RISC-V处理器(3)

上期我们实现了加法指令,并且自己写了三条命令代码进行了一下简单的测试,后续还会继续进行更为规范的测试,即使用官方的指令测试文件来进行指令测试,不过目前还没法用,因为涉及到分支指令和跳转指令;这一期就来说一说,分支指令及跳转指令的实现。最后,掌握了指令添加的方法后,一大批指令都可照猫画猫的添加了!

2022-05-08 18:02:49 1232 3

原创 笔试|面试|FPGA知识点大全系列(1)

文章目录前言一、什么叫FPGA二、什么叫数字IC三、FPGA设计流程1.系统规划2.RTL输入3. 行为仿真/功能仿真4. 逻辑综合5. 综合后仿真(可选)6. 综合后设计分析(时序及资源)7. 设计实现(包括布局布线及优化)8. 布线后仿真9. 板级调试10. 程序固化四、什么是毛刺(glitch)?又如何消除呢?该如何消除毛刺呢?五.D触发器总结

2022-05-08 17:32:01 2121

原创 和你一起从零开始写RISC-V处理器(2)

RISC-V加法指令的实现(Ⅱ),附工程文件获取方法!

2022-05-08 17:26:30 1366

原创 和你一起从零开始写RISC-V处理器(1)

通过verilog来搭建一个CPU的想法由来已久了,一方面是为了增加自己为数不多的项目经历,另一方面是真的想弄明白,CPU内部究竟到底是怎么运行的。今天就正式开始了,此工程很大,更新进度取决于自身学习情况以及别的up主的更新进程;可能会很慢,也可能哪天夭折了;虽然路途很遥远,但是总要迈出第一步吧~能学多少是多少了哈哈。本文首发于公众号:FPGA学习者,关注公众号,获取更多资料与内容。

2022-05-08 16:55:51 3008

原创 FPGA/数字IC求职笔试面试(2)之IIC协议的FPGA实现

一、前情回顾上期说到IIC协议的概述以及具体时序要求等等,本期将基于FPGA作为主控制器,EEPROM作为从机,实现F

2022-04-19 14:45:47 1180

原创 FPGA/数字IC求职笔试面试(1)之IIC协议详解

FPGA/数字IC求职笔试面试(1)之IIC协议详解文章目录FPGA/数字IC求职笔试面试(1)之IIC协议详解前言一、概述:二、具体协议1.先来大致猜测2.IIC协议时序三、协议实现步骤(以读写EEPROM为例)1.单字节写时序1.单字节地址单字节数据写入2.两字节地址单字节数据写入2.单字节读时序1.字节地址段器件单字节数据读操作时序2字节地址段器件单字节数据读操作时序四、扩展前言从今天起,就要正式入驻CSDN,更新关于FPGA/数字IC的求职相关知识,今天讲解的内容是IIC协议。本文首发于

2022-04-19 14:10:15 1010

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除