Xilinx zynq7000

PS: 处理系统(Processing System),与FPGA无关的ARM的SOC的部分。 PL: 可编程逻辑(Progarmmable Logic),FPGA部分。
PS和PL需分配到不同的电源平面上,PS和PL都有专用的电源引脚,要有独立电源供应路径。
PL和PS数据传输的高效接口有两个:AXI(PS主动)和ACP(PL主动)。PL访问DDR可通过AXI接口,可配置成32-bit或者64-bit。
PS端
作为处理器系统的基础,所有的芯片都包含了一颗双核 ARM Cortex-A9 处理器。 这是一颗 “ 硬 ” 处理器 —— 它是芯片上专用而且优化过的硅片元件。PS由APU(Application Processing Unit,APU )、扩展外设接口、存储器接口、互联接口、时钟发生电路、以及cache 存储器等电路组成。

PL端
Zynq 架构的第二个主要部分是可编程逻辑。这是基于 Artix®-7和Kintex®-7的 FPGA 组件的 。图7 描绘了 Zynq 芯片的 PL 部分,其中几个功能被高亮了出来。PL 主要是由通用FPGA 逻辑部分组成的,这个FPGA 是由逻辑片和可配置逻辑块 (ConfigurableLogic Block,CLB)组成的,另外还有用于接口的输入 / 输出块(Input/ OutputBlock,IOB)

做好PL端的配置后,生成bit流,然后(include bitstream)导入到SDK。(具体包括,产生外部产品、生成顶层文件、Export 、lanuch to SDK)
3.在SDK中,等待硬件信息导入完成后,新建工程led,打开空工程。然后添加原文件led.c(单击led > 右键单击src > new > Source File)

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值