【SystemVerilog 之 接口】~ Interface

1. 接口 interface

1.1 什么是接口?

在这里插入图片描述

1.2 为什么要用接口呢(优势)?

答 : 接口 可以带来很多便利,就好像Java中的对象一样,只需要定义一次,需要的时候拿来做例化就可以了。不用接口也可以,但如果我们的需求发生改变,那我们要改的东西那可就太多了,不利于维护。
在这里插入图片描述

1.3 举例说明

比如我们需要测试一下 Arbiter 这个模块。
在这里插入图片描述

用接口实现:
在这里插入图片描述
在这里插入图片描述

在这里插入图片描述

1.4 接口的定义与使用

在这里插入图片描述

1.4.1 练习

  1. 哪些语句可以在interface中定义呢?
    答:参数、输入输出端口、函数和任务、过程语句块。

  2. module中90%以上在interface中都适用,那差别在哪呢?
    答:module中可以例化module和interface,但是interface中只能例化interface,不能例化module。

希望我可以坚持下去,不断学习!如果觉得有用,希望关注点赞评论,不迷路!!!

声明:仅供学习参考,侵删!!!

参考文献

网络资源ppt

声明

本人所有系列的文章,仅供学习,不可商用,如有侵权,请告知,立删!!!

本人主要是记录学习过程,以供自己回头复习,再就是提供给后人参考,不喜勿喷!!!

如果觉得对你有用的话,记得收藏+评论!!!

  • 2
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值