自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(131)
  • 资源 (4)
  • 收藏
  • 关注

原创 【2023 睿思芯科 笔试题】~ 题目及参考答案

本次笔试题以两种形式考察的,分别是:选择题(包括单选和多选)和编程题。这里强调的是笔试全英文!!!其实不光这一家公司,很多都是这样的,所以,英语还是那么的重要!一共5个选择+2个编程题。PS:大家在做笔试题的时候一定注意看清考察的题型,这很重要,如果全是简答题的话,那基本就会考察到基本知识、画图以及编程的内容,此时需要你备好纸笔等工具;如果涉及到编程题的话,还是得去刷一刷某客网的题目,感觉很不错,除了这个以外,入门级别的刷题网站还有HDLBits,比较基础,时间充裕也可以刷刷。

2023-09-17 17:05:01 1236

原创 真空电子管、晶体管&DRAM

现在想,应该是为了控制灯耗而发明,爱迪生在研究灯泡的时候想的是延长灯亮的时间,那么讲究通过排空灯中的空气,之后,和电相连。灯丝的作用是产生电子,金属板的作用是接收通过Grid的电子,而网格的作用是控制通过其相应的电子数量,类似于电吉他的拾音器(Pick-up)。正常情况下,灯丝部分产生的是负电子,之后我们给金属板部分加上正电级,那么自然而然地电子会流到金属板去,但如果我们想要加快电子的流速,那么我们就向网格部分也加正电,这就会加速电子的流动。本人所有系列的文章,仅供学习,不可商用,如有侵权,请告知,立删!

2023-07-31 17:05:33 674

原创 【2023 摩尔线程 笔试题】~ 题目及参考答案

今天分享的是摩尔线程的关于IC验证的笔试部分题目!!!本次笔试题以三种形式考察的,分别是:单选题、简答题以及编程题。大家在做笔试题的时候一定注意看清考察的题型,这很重要,如果全是简答题的话,那基本就会考察到基本知识、画图以及编程的内容,此时需要你备好纸笔等工具;如果涉及到编程题的话,还是得去刷一刷某客网的题目,感觉很不错,除了这个以外,入门级别的刷题网站还有HDLBits,比较基础,时间充裕也可以刷刷。这笔试题量也太大了吧!一小时,三部分,单选、简答、编程。下边是具体的题目,仅仅是回忆,哈哈哈!!!

2023-04-29 20:41:10 1813 2

原创 【2023 美芯晟 笔试题】~ 题目及参考答案

今天分享的是美芯晟的关于IC验证的笔试部分题目!!!本次笔试题全都是以简答题的形式考察的。大家在做笔试题的时候一定注意看清考察的题型,这很重要,如果全是简答题的话,那基本就会考察到基本知识、画图以及编程的内容,此时需要你备好纸笔等工具。下边是具体的题目,仅仅是回忆,哈哈哈!!!

2023-03-30 10:57:15 641

原创 【2023 芯海 笔试题】~ 题目及参考答案

今天分享的是芯海的关于IC验证的笔试部分题目!!!本次笔试题一共四部分:单选、多选、填空和简答。下边是具体的题目,仅仅是回忆,哈哈哈!!!

2023-03-08 15:58:45 844

原创 【再见2022,你好2023】~ “二舅”新年谈

为什么开头说2022年是悲惨的呢?我想大家应该都是这样度过我们的2022年:核酸?排队核酸?上门核酸?学习之余核酸?科研之余核酸?摸鱼之余核酸?回家核酸?宣讲会核酸?等等等等,没有哪一项活动离得开核酸检测,哈哈哈!!!正如之前网上所看到的一张网图,2022年度总结:1月:做核酸2月:做核酸3月:做核酸4月:做核酸5月:做核酸6月:做核酸7月:做核酸8月:做核酸9月:做核酸10月:做核酸11月:做核酸12月:阳了。

2023-01-10 10:34:46 779

原创 【2023 海光 笔试题】~ 题目及参考答案

今天分享的是海光的关于IC验证的笔试部分题目!!!本次笔试题一共三部分:单选15、多选10、填空5。下边是具体的题目,仅仅是回忆,哈哈哈!!!

2022-12-20 22:30:03 4590 2

原创 【2023 高拓迅达 笔试题】~ 题目及参考答案

哈喽,实在不好意思各位,断更了都快一个月了!!!是因为“二舅”自身难保了,哈哈哈!怎么说呢?出现了点小意外,所以求职地点发生了重大变化,导致“好不容易”到手的几个offer只能眼睁睁的拒掉啦,呜呜呜,吾心剧痛啊!但也无所谓,一切都是最好的安排,因为你们的“二舅”无所不能(真能吹牛掰啊)。23届的小伙伴应该都已经感受到了这股“寒气”了吧!确实如此,我身边也有很多人目前为止还没有拿到offer,甚至意向都没有拿到手,真的是心痛啊,难道真的是我们同学不够优秀嘛?

2022-10-21 22:27:49 1445

原创 【2023泰凌微笔试题】~ 题目及参考答案

间隔多时,“二舅”抡起键盘,开始码一篇文章吧!!!本次笔试题一共三部分:单选(15个30分)、多选(10个30分)、简答(6个40分,前两个各10分、后四个各5分)下边是具体的题目,仅仅是回忆,哈哈哈!!!

2022-09-24 16:15:20 4018

原创 【生活篇】~ “金九“前半段小结 及 晚来的中秋祝福

如果依然是平平无奇,那可能冲一下十月看看,我觉得今年也不指望“银十”了,其实我感觉好多公司都已经结束了(消息没有证实,只是听说,看看就行,别当真),剩下的收收尾。哪家公司就不说了,公司很好,也很大,福利待遇我感觉也不错,只不过可能没有达到我的预期,所以就暂时拒绝了,没有接下这个offer。“金九”现在已经快要过去一半了,有可能后一半也会有逆风翻盘,也有可能依旧平平无奇,无所谓了,车到山前必有路,最后总能找到自己心仪的工作。就在节前的一天,激动的心,颤抖的手,让我狠狠的点击了“拒绝”按钮!

2022-09-11 16:06:59 1721 1

原创 【2023灵动股份笔试题】~ 题目及参考答案

艾睿博迪,大家好啊!最近“二舅”这边又有疫情了,这还不知道这个月的线下宣讲会能不能如约而至呢!唉,这疫情把生活都弄乱了,呜呜呜!!!最近大家所在的地方都怎么样了呢?可以评论区打一波。再就是各位一定要注意安全,身体是革命的本钱呀!!!本次笔试题总体来说不难,一共三个部分,单选、多选和简答。时间还是有一点点紧的。下边是具体的题目,仅仅是回忆,哈哈哈!!!

2022-09-02 09:29:43 1232

原创 【2023硅谷数模笔试题】~ 题目及参考答案

哈喽!本次笔试题总体来说不难,一共11个题,没有选择填空,全是简答题。时间还是有一点点紧的。这里不得不说这个系统,真的很难用,大家做题的时候注意一下,代码题需要自己手敲空格,真鸡肋呀!下边是具体的题目,仅仅是回忆,哈哈哈!!!...

2022-08-30 10:30:44 3723 3

原创 【2023提前批 之 面经】~ 万集科技

好了,本次面试分享到这里,其实也没什么技术方面的问题,技术方面学不到东西,那就从经历上边学点东西,下次见到这种面试技术岗,连技术两个字都不带沾边的,趁早早点结束,没必要浪费双方的时间,哈哈!说是3~5天会给结果(没毛病,虽然凉凉,但还是得夸夸万集的效率,值得别的公司学习一下,有的公司一两个月都没结果,我不说是谁图片)就这样,半个多小时,就围绕着我的论文问了,我不李姐啊,我是面的技术岗位嘛?本人所有系列的文章,仅供学习,不可商用,如有侵权,请告知,立删!不得不说,万集的效率没得说,高效率办事,赞一个!

2022-08-24 09:23:26 1556 2

原创 【每日一题】 ~ C 语言中全局变量、局部变量、静态全局变量、静态局部变量有什么区别?

静态全局变量与全局变量的区别在于如果程序包含多个文件的话,它作用于定义它的文件里,不能作用到其它文件里,即被static关键字修饰过的变量具有文件作用域,这样即使两个不同的源文件都定义了相同名字的静态全局变量,它们也是不同的变量。局部变量只有局部作用域,它是自动对象(auto),它在程序运行期间不是一直存在,而是只在函数执行期间存在,函数的一次调用执行结束后,变量被撤销,其所占用的内存也被收回。本人所有系列的文章,仅供学习,不可商用,如有侵权,请告知,立删!

2022-08-20 22:35:37 1011

原创 【基础知识】~ 一举拿下 ”CRC“

数据拼接时先接收到的数据放到data_b的低位。第三步:原数据从左往右,与CRC除数进行按位异或(注意:如果原数据高位有0,那就省略掉从最高位为1开始异或运算,直到原数据最后一位异或运算完成,所得到的就是CRC校验和,其长度为CRC除数-1,如果不够,前边补零,但一定长度为CRC除数-1那么长)。最后舍掉前边的0,这里需要注意,因为最后我们需要满足 CRC校验和的长度为CRC除数-1,CRC除数长度是5位,减一之后为4位,所以我们可以省略掉前边的两个0,假如不够四位的话,前边就得补零补足四位才行。....

2022-08-11 08:49:49 2642 4

原创 【手撕AHB-APB Bridge】~ AMBA总线 之 AHB

原因就在于第一个阶段完事之后,我master已经把地址和控制信号扔到总线上了,而你slave不给我面子啊,你的反馈信号HREADY迟迟不肯拉高,那只能和你干耗着了(注意:这里持续最长周期不能超过12个,这是协议中规定的)!到这里又有问题了,那就是如果在burst传输的时候,如果slave没有准备好,有hready=0,那如果你是master没有准备好,咋办?那我指定不能让你闲着呀,你给我传下一个操作的地址,就这样,它很快就被榨干了,啊,不对,很快就把效率提升起来了,哈哈哈!这就引入了Pipeline传输!.

2022-08-04 15:26:15 2944 1

原创 【Linux】~ ctrl+z

今天在使用VIM的时候,原本想着撤回,ctrl+z(用惯了Windows),没想到发现了一个好玩的东西,原来VIM的ctrl+z是!!!ctrl+z将当前vim置于后台jobs查看所有后台vimfg1恢复编号为1的vim到前台。

2022-08-02 12:09:14 1456

原创 【手撕AHB-APB Bridge】~ AMBA总线 之 APB

文章更新原则如下当日微信公众号首发===>最早次日博客更新(取决于作者时间)如果大家想看到第一手的文章请关注微信公众号“IC二舅”,回复关键字有学习资料获取,并且可以一起进群讨论学习!!!...

2022-08-02 08:06:30 3281 2

原创 【手撕AHB-APB Bridge】~ AHB地址总线的低两位为什么不用来表示地址呢?

起初不是很了解为什么要进行限制,学习之后明白了,以下是我的个人理解,希望大家批评指正!!!

2022-07-31 17:47:35 2588 3

原创 【2023海康威视提前批笔试题】~ 题目及参考答案

!接下来回到正题===>试卷一共有四个部分单选、多选、简答、编程。单选好像15个题,多选5个题,简答2个题、编程1个题。下边是具体的题目,仅仅是回忆,哈哈哈!!!

2022-07-29 15:55:15 19248 14

原创 【2023复旦微电子提前批笔试题】~ 题目及参考答案

它是行为级描述的上一个层级,行为级的描述更多的是关注算法,一般不考虑电路的实现和可综合,只定义输入输出关系,相对于行为级描述更加抽象。RTL是用硬件描述语言描述你想达到的功能,门级则是用具体的逻辑单元(依赖厂家的库)来实现你的功能,门级最终可以在半导体厂加工成实际的硬件。一套卷子啥题都有,不光有IC设计方向的,还有IC验证方向的,最可怕的是还有后端的知识,可谓是全能型人才的选拔,真的是醉翁之意不在酒啊!本人所有系列的文章,仅供学习,不可商用,如有侵权,请告知,立删!下边是具体的题目,仅仅是回忆,哈哈哈!..

2022-07-27 10:01:34 2913

原创 【基础知识】~ 集成电路设计流程,以及各阶段所使用的EDA工具

首先做一款芯片需要有市场,一般公司会先做市场调研,比如最近市面上比较火的人工智能芯片,物联网芯片,5G 芯片,需求量都比较大。有了市场的需求我们就可以设计芯片的 spec了。先由架构工程师来设计架构,确定芯片的功能,然后用算法进行模拟仿真,最后得出一个可行的芯片设计方案。有了芯片的 spec,下一步就可以做 RTL coding 了。Office完成芯片中数字部分的高层次算法或架构的分析与建模,为硬件提供一个正确的软件功能模型,更为重要的是,通过大量的高层次仿真和调试,为 RTL 实现提供总体性的设计指导。

2022-07-27 09:28:42 6705

原创 【(SV && UVM) 笔试面试遇到的知识点】~ phase机制

注意的是左边9个phase是串行执行的,而runphase衍生出来的12个task(其实是由4个task加上pre和post构成)和run的关系是并行执行的。

2022-07-25 22:30:30 1717

原创 【2023杰理科技提前批笔试题】~ 题目及参考答案

本次笔试考察的还是有点难度的,对于基础知识考察换了一种形式,总之,万变不离其中,基础知识掌握之后还是不怕的。

2022-07-25 21:50:05 2546

原创 【2023芯动科技提前批笔试题】~ 题目及参考答案

本次笔试题总体来说不难,都见过,但是特点就是很基础很基础,考的最多的竟然是SV的数组这块,这块之前很熟,现在都忘差不多了,感觉这块的题就得有30分了。

2022-07-23 16:25:22 7705 4

原创 【2023提前批 之 面经】~ 京东方

本人主要是记录学习过程,以供自己回头复习,再就是提供给后人参考,不喜勿喷!

2022-07-22 22:43:01 1140

原创 【2023提前批 之 面经】~ 联发科

感觉和面试官挺聊得来的,面试官真的很好,爱了爱了,原来面试也有这么爽的时候啊!

2022-07-20 21:56:05 770

原创 【2023提前批 之 面经】~ 锐捷

面试相关问题

2022-07-20 21:38:14 560

原创 【手把手带你学UVM】~ 记录遇到的一切错误

目前,个人学习过程中总结了不少相关的知识,现在初步打算是等我全部学完之后,回过头来会出一个专栏,目前暂定为《手把手带你学UVM》,此专栏从0开始动手学习UVM,敬请期待吧!!!这里我主要是先记录一些我在筹备该专栏的时候,自己犯下的错误,这可是用自身经历换来的呀!或许也就是你踩到的坑,话不多说,我们开始吧!...

2022-07-16 09:44:43 5527

原创 【2023提前批 之 面经】~ 海尔

嵌入式硬件工程师。

2022-07-15 11:15:05 794 2

原创 【书籍&&资料】~ IEEE Standard for SystemVerilog 1800-2017

注意:解答来自 IEEE Standard for SystemVerilog书籍中的第 21章 Input/output system tasks and system functions的第21.6 Command line input。读取文件以获取用于模拟的信息的替代方法是使用命令指定信息以调用模拟器。 此信息采用提供给模拟的可选参数的形式。 这些参数以加号 (+) 字符开头,在视觉上与其他模拟器参数区分开来。这些参数(以下称为 plusargs)可通过以下系统函数访问:$test $plusarg

2022-07-12 18:33:39 807

原创 【软件篇】~ 为什么打开谷歌浏览器就是360导航页面呢?

已经有两三天没更新博客了,为什么呢?那肯定是有原因的,悄悄告诉你们,我这两三天在看一本书,我觉得人家写的是真心不错啊,推荐大家看一下,这本书叫《The UVM Primer》,这是一本全英文的书,我目前有个大胆的初步想法,等我完全吃透了出一个专门的专栏博客,就将这本书的中文系列,哈哈哈!!!我目前用了两天左右的时间看了一遍,代码也过了一遍,等我再消化消化!今天这篇博客先解决这个问题。今天本想着打开qq邮箱看一封邮件,之前因为都是用的360浏览器,我想的是以后都用Google浏览器来用吧!结果,点击qq邮箱的

2022-07-12 11:58:29 5078

原创 【牛客网刷题系列 之 Verilog进阶挑战】~ 序列检测专题

对于序列检测的题目,一般可以用两种方法完成:状态机 或者 移位寄存器。可以参考一下这篇博客,这是我之前写的,现在为了刷题,规整了一下,里边讲解的很清楚了,这里我就不多说了,直接传送门。请编写一个序列检测模块,检测输入信号a是否满足01110001序列,当信号满足该序列,给出指示信号match。clk:系统时钟信号rst_n:异步复位信号,低电平有效a:单比特信号,待检测的数据match:当输入信号a满足目标序列,该信号为1,其余时刻该信号为0该题的状态转移图如下所示:其实,这类题写出状态转移图就简单

2022-07-09 17:37:20 1085

原创 【牛客网刷题系列 之 Verilog进阶挑战】~ Johnson Counter =【扭环形计数器】=【约翰逊计数器】

对于学过数电的小伙伴来说可能听说过这种计数器,下边我们介绍一下涉及到的知识点以及笔试面试过程中的一些高频考试题。关于什么是 扭环形计数器、环形计数器、m 序列线性反馈移位寄存器 ?(1)扭环形计数器,每次状态变化时仅有一个触发器发生翻转,译码不存在竞争冒险,在n(n≥3)位计数器中,使用2n个状态,有2^n-2n个状态未使用;(2)环形计数器,正常工作时所有触发器中只有一个是1(或0)状态,计n个数需要n个触发器,状态利用率低;(3)n个寄存器的线性反馈移位寄存器LFSR可以产生的最长的随机序列是2^n

2022-07-08 16:27:43 1763

原创 【牛客网刷题系列 之 Verilog进阶挑战】~ 存储器专题

关于RAM的分类以及相关知识,可以参考以下几篇文章:1. BRAM和DRAM2. 细分与归类设计一个单端口RAM,它有: 写接口,读接口,地址接口,时钟接口和复位;存储宽度是4位,深度128。注意rst为低电平复位无输入信号 enb, clk, rst addr w_data类型 wire在testbench中,clk为周期5ns的时钟,rst为低电平复位输出信号 r_data类型 wire该题是一个单端口RAM,读和写是不能同时进行的,要么读,要么写,这里还有一个需要区分的点,

2022-07-08 11:49:40 663

原创 【牛客网刷题系列 之 Verilog进阶挑战】~ 计数器专题

本次更新注意是针对于计数器而言,怎么评价计数器呢?其实我觉得,计数器不难,但是你还离不开计数器这个东西,包括实现一些任意占空比的任意分频啊,这些都得用得着计数器的。所以说,有必要单独拿出来和大家分享一下下呢!!!...

2022-07-08 09:47:41 730 3

原创 【牛客网刷题系列 之 Verilog进阶挑战】~ 多bit MUX同步器

有几天没更新牛客刷题的博客了,最近有事在忙,只能尽量做到每天一更了!!!一边刷题,一边做点小demo,不断学习。今天这个题主要是一个跨时钟域的多位数据传输,也是比较常见的一种题型,挺有用的,关于跨时钟域怎么处理,可以移步到我之前写的一篇博客,传送门在data_en为高期间,data_in将保持不变,data_en为高至少保持3个B时钟周期。表明,当data_en为高时,可将数据进行同步。本题中data_in端数据变化频率很低,相邻两个数据间的变化,至少间隔10个B时钟周期。无1.1.4 输出描述ou

2022-07-07 10:50:57 1140

原创 【Linux】~ 如何将本地文件(windows)复制(传输)到虚拟机中

很多时候对于一个新手来说,对刚开始使用虚拟机不熟悉,想要将我们本地的文件复制到虚拟机中使用,这里介绍一种方法前言中需要强调的一点是:

2022-07-04 22:38:24 16812 5

原创 【牛客网刷题系列 之 Verilog快速入门】~ 优先编码器电路①

之前的十道题是Verilog的基础语法,我觉得必须掌握,但是到了第二部分这个组合逻辑这些题突然有点小恶心,所以说这块的题我就选择性的做了,我会做什么样的题呢?里边涉及到重要的知识点的题我还会拿出来分享的。首先看一下case、casex、casez对应的真值表在case语句中,敏感表达式中与各项值之间的比较是一种全等比较,每一位都相同才认为匹配。在casez语句中,如果分支表达式某些位的值为高阻z,那么对这些位的比较就会忽略,不予考虑,而只关注其他位的比较结果。在casex语句中,则把这种处理方式进一步扩展

2022-07-01 14:43:43 1204 4

原创 【牛客网刷题系列 之 Verilog快速入门】~ 多功能数据处理器、求两个数的差值、使用generate…for语句简化代码、使用子模块实现三输入数的大小比较

题目来源:牛客网根据指示信号select的不同,对输入信号a,b实现不同的运算。输入信号a,b为8bit有符号数,当select信号为0,输出a;当select信号为1,输出b;当select信号为2,输出a+b;当select信号为3,输出a-b.无clk:系统时钟rst_n:复位信号,低电平有效a,b:8bit位宽的有符号数......

2022-07-01 09:36:38 1050

路科V2实验四分析与详解(内看代码和思路)

内置选做和必做的的实验, 层层递进,逐步了解验证的结构,通俗易懂。 逐步实现了sv向uvm结构的过渡。 适合刚入门的小白, 作为System Verilog的学习值得推荐, 动手能力迅速提升。 注明: 本实验所用软件Questa Sim,配套使用,可以找我要相关软件的安装包。

2022-06-14

路科V2实验三分析与详解(内看代码和思路)

内置三个小的实验,层层递进,逐步了解验证的结构,通俗易懂。 适合刚入门的小白, 作为System Verilog的学习值得推荐, 动手能力迅速提升。 注明: 本实验所用软件Questa Sim,配套使用,可以找我要相关软件的安装包。

2022-06-13

路科V2实验二分析与详解(内看代码和思路)---OOP思想的建立

内置四个小的实验, 由初级逐步提升难度, 适合刚入门的小白, 作为System Verilog的学习值得推荐, 动手能力迅速提升。 注明: 本实验所用软件Questa Sim,配套使用,可以找我要相关软件的安装包。

2022-06-12

路科V2实验一分析与详解(内看代码和思路)

内置四个小的实验, 适合刚入门的小白, 作为System Verilog的学习值得推荐, 动手能力迅速提升。 注明: 本实验所用软件Questa Sim,配套使用,可以找我要相关软件的安装包。

2022-06-11

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除