自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(20)
  • 资源 (5)
  • 收藏
  • 关注

原创 SG2002硬件文档注意事项记录

双路Sensor使用两路Sensor 应用时,Sensor0 必须从 MIPI_RX0,MIPI_RX1,MIPI_RX2 上出,Sensor1 从 MIPI_RX3,MIPI_RX4 上出。这两路 Sensor 之间的线序不能交换。USB当用USB 口烧录固件时,则需要USB_VBUS_DET(PIN60) 通过电阻分压5V_USB_IN 做检测,上电为高才能进入SOC为Device模式。当USB 口不做烧录用,USB_VBUS_DET(PIN60)可以直接接地,此时 USB 为Host

2024-02-18 10:11:43 487

原创 fdk-aac 采用aac-ld解码

fdk-aac采用aac-ld完成低延迟AAC音频的解码

2023-02-08 14:54:18 493

原创 ART-PI GT1151 touchgfx

一、问题网上大部分art-pi tougfx 使用的触摸屏的触摸ic为gt9147,但是手里这块正点原子的屏使用的是gt1151,需要进行一定修改才可使用二、需要解决的问题驱动问题:rt-thread已经支持gt1151(软件包中搜索),只需要勾选即可,去掉勾选example工程中touchgfx只有定义使用gt9147:修改文件STM32TouchController.cpp修改的第一个地方(寻找到gt1151,以便下面的函数读取xy坐标)第二个函数,取消#ifndef(调用上面的to

2021-10-05 14:53:32 861

原创 ART-PI Uboot+Linux

流程1、使用stm32h750内部flash烧写的qboot初始化部分外设,并将地址跳转到0x90000002.运行uboot和linux相关链接uboot master分支rt-thread kboot 工程文件烧录参考master kboot工程,并使用其部分文件遇到的问题:波特率问题:kboot和uboot的默认波特率是2m,我的串口软件不支持,修改了kboot工程和uboot配置,编译后的文件在后面链接中烧录问题:app地址是0x90000000,所以需要将uboot.bin烧

2021-09-24 21:13:13 808 1

原创 python libiio在win10中的安装问题

问题win10 python 安装 pylibiio后import iio或者import adi时出现iio_device_get_label not found参考链接adi论坛相关问题解决方法解决办法下载 adi pylibiio 源文件git clone https://github.com/analogdevicesinc/pyadi-iio.git在pyadi-iio文件夹中运行 以下命令pip install --force-reinstall --no-bina

2021-09-08 17:24:57 662

原创 zynq sgmii lwip调试

参考链接S05-CH04 PS 通过 GTX 实现 SFP 网络通信整理的内容添加到680行左右# PCS PMA CORE options set use_pcs_pma_core_on_zynq [common::get_property CONFIG.use_pcs_pma_core_on_zynq $libhandle] set use_1000basex [common::get_property CONFIG.use_1000basex $libhandle] set use_

2021-06-14 19:04:33 873 4

原创 vivado hdmi 1024x600时序

video outhtimeh_active_pirels1024h_sync_polarity0h_front_porch168h_sync_pulse32h_back_porch120vv_active_pirels600v_sync_polarity0v_front_porch15v_sync_pulse6v_back_porch14video outac...

2021-05-12 21:13:22 1493 3

原创 zynq S9 引脚定义

J1引脚1234GND21GNDBANK34_L22P43BANK34_L22N65BANK34_L1P87GND109GNDBANK34_L2N1211BANK34_L2PGND1413GNDVCC1615BANK34_L1NPS_MIO281817J1-J9MIO(18)515121143J12834_L1P34_L1N34_L2

2021-04-18 00:54:08 421 1

原创 qemu4.0.0在Ubuntu20.04中遇到的问题

1.stime not definedhttps://github.com/qemu/qemu/commit/0f1f2d4596aee037d3ccbcf10592466daa54107f2.qemu/linux-user/ioctls.h:225:9: error: ‘SIOCGSTAMP’ undeclared here (not in a function); did you mean ‘SIOCSRARP’?> 225 | IOCTL(SIOCGSTAMP, IOC_R, M

2021-03-15 22:34:42 1234

原创 petalinux编译zynq linux时遇到的问题记录

Warning: ethernet@e000b000 using MAC address from DTeth0: ethernet@e000b000Hit any key to stop autoboot: 0switch to partitions #0, OKmmc0 is current deviceScanning mmc 0:1...Found U-Boot script /boot.scr2010 bytes read in 11 ms (177.7 KiB/s)## Exe

2021-03-14 15:50:04 1603

原创 petalinux2019.1 install in Ubuntu20.04

一、 遇到的问题在dpkg中找不到python,但是python已经安装,版本为3.8INFO: Checking installation environment requirements...WARNING: This is not a supported OSINFO: Checking free disk spaceINFO: Checking installed toolsdpkg-query: package 'python' is not installed and no info

2021-03-10 22:27:54 3020 6

原创 ZYNQ7000 Uboot编译

使用的板子是闲鱼淘的ebaz4205,petalinux编译工程弄了几天都无法下载linux源码,索性按照官网教程U-boot自己一步步搭建编译环境uboot版本xlnx_rebase_v2020.01备份的gitee链接https://gitee.com/lign17314/u-boot-xlnx.git交叉编译链gcc-linaro-7.5.0-2019.12-i686_arm-linux-gnueabihf使用的命令记录设置工具链和环境变量export A

2021-01-23 16:46:17 768

原创 FPGA作业一--全加器

串行全加器module full_adder(a,b,Cin,sum,Cout); input a,b; input Cin; output sum; output Cout; wire t1,t2,t3; wire s1; xor (s1,a,b); xor (sum,s1,Cin); and (t3,a,b); and (t2,b,Cin); and (t1,a,Cin); or (Cout,t1,

2020-11-12 19:17:11 337

原创 在Keil C51中创建STC89C51工程

一、创建工程选择一个空文件夹存放工程,并填写工程名(即文件名)在Search里输入89C51搜索,选择AT89C51即可,然后点击OK完成创建点击OK展开文件夹,右键单击Source Group 1,选择添加新的item选择C file ,Name里面添加文件名(例如main),点击Add创建完成的样子在main.c里面添加#include <reg51.h>void main(){}...

2020-10-27 16:26:43 9011

原创 STM32CubeIDE中使用RT-Thread

一、新建工程在stm32cubemx中安装rt-thread,然后新建工程,本文参考文档RT-Thread二、适配 RT-Thread Nano(以NUCLEO-F401RE板为例)按照官方文档添加好RT-Thread组件并勾选,然后在NVIC中取消相关的中断(详见上方链接:中断与异常处理),到此即可生成工程需要注意的几个地方SYS中的Timebse Source 尽量不要使用Systick,此处我选择为TIM1在工程中需要更改的地方修改启动文件 bl main 改为 bl entry(

2020-10-18 18:07:24 2452 4

原创 使用stm32-for-vscode中出现的一些问题

stm32-for-vscode在vscode里面一直出现找不到target的原因需要将Application Structure 设置为Basic,Toolchain/IDE 选择 Makefile

2020-08-28 20:08:55 660

原创 wxe量子力学笔记

`第一章量子力学212meVe2=hv−W0\frac{1}{2}m_eV_e^2=hv-W_021​me​Ve2​=hv−W0​Planck-Einstein关系式:E=hvE=hv E=hvp=h/λp=h/\lambdap=h/λλ(μm)=1.24E(eV) \lambda (\mu m)=1.24 E(eV)λ(μm)=1.24E(eV)Compton 效应ℏ\hbarℏΔλ=λ‘−λ=4πℏmesin2θ2=2λcsin2θ2 \Delta \lambda=\lamb

2020-08-17 13:20:50 561 1

原创 CH340

CH340C 串口小板CH340C简单介绍原理图PCB图3D图CH340C简单介绍极具特点的CH340N和CH340C是对USB转串口芯片CH340型号的扩充,其中CH340N芯片为SOP-8小封装、且内置晶振可以有效节约产品成本与PCB面积。 CH340C型号特点为内置晶振,可以看做芯片CH340G的免晶振版本,在使用CH340G或CH340B的设计中一般电路不做改动也可以使用CH340C。...

2020-04-30 19:54:00 4985

原创 ESP-01S使用ardunio里面的例程basicOTA

需要更换flash,esp-01s原本配的flash是1MB的,太小了,导致OTA下载进去的程序没有地方放,更换成4MB的w25q32后成功使用

2020-02-19 09:43:38 847

原创 STM32CUBE IDE复制外部文件后报错找不到头文件

STM32CUBE IDE 的引用外部文件设置#记录支持直接把文件从文件夹里拖拽进IDE的目录里(也可以通过import加入,这里不多说)全局引用在C/C++ general /code analysis 的Paths and Symbols里面,具体看图Director 里面自己按照上面的格式填,我的文件在那个工程的的Drivers/BSP/inc里面,这样就不会报错说找不到头文...

2019-12-24 19:55:31 3772

artpi_linux.rar

art-pi uboot+linux+kboot 修改了波特率,统一使用115200,压缩包里含三个文件,rtthread.bin烧录到内部flash,uboot.bin烧录到0x90000000,linux文件烧录到0x90080000,在串口里输入boot即可启动

2021-09-24

MYiR Zynq FPGA 使用手册.pdf

z-turn开发手册,可借鉴手册创建自己的工程,已按照该手册成功在pl端驱动好了sil9022a hdmi芯片输出彩条

2021-05-12

full_add.zip

3bit串行全加器,veriolg语言编写,附带测试文件,test.v文件为主要文件,test_tb.v为对应测试文件,含有一个单独的全加器,通过串行连接

2020-11-12

SGP18T_ILI9163B_STM32F103C8T6 .rar

stm32f103c8t6,使用hal库,初始化使用stm32cube mx 配置引脚,可以直接下载使用

2019-06-26

STM32F1 hal库 官方驱动文档

stm32f1的hal库驱动文档,chm格式,可以直接点击打开,在files里面可以找到要用的函数名。

2019-06-24

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除