高速数据采集卡在宽带实时频谱分析中应用

简介

QT7012+QT7125+是一款支持交流耦合宽带信号输入的高精度高速数据采集卡,它具有12bit分辨率、2通道和5GSPS采样率的指标。板载FPGA具备实时信号处理能力,可以进行大数据量的实时信号处理,配备宽带实时频谱分析功能,频率范围10MHz~8GHz,实时频谱分析带宽500MHz;具备外部参考时钟输入以及外部触发输入及触发输出接口。这些特性使QT7012+QT7125+成为无线电监测、宽带频谱感知、无线通信测试测量仪表等应用领域进行信号采集和分析的理想工具。
在这里插入图片描述

应用

无线电监测

宽带频谱感知

无线通信测试测量

更多信息请加weixin-pt890111获取

主要规格

l 最大支持2通道同步采集;

l 5GSPS采样率;

l 12bit转换精度;

l 全功率模拟带宽(-3 dB):8GHz

l 直流耦合,50欧姆输入阻抗;

l 输入量程:0.8Vpp(典型值);

l 板载4GB DDR4存储器;

l 支持外部参考时钟输入:10MHz(典型值),0dBm~ +10dBm

l 支持外部触发输入和输出:3.3V LVCMOS或LVTTL;

l PCI Express 3.0 x8数据传输接口,传输带宽可达5.8GB/s;

l FPGA支持定制信号处理算法逻辑开发。

宽带频谱分析及平滑滤波功能

l 实时带宽:500MHz@750Msps(IQ);

l 时域加窗:矩形窗、汉宁窗、汉明窗、布莱克曼窗

l FFT点数:1024/2048/4096/8192/16384;

l FFT输出:频域IQ幅度值、频域IQ功率dB值;

l 支持平滑滤波:

原始采样数据相邻两样点累加平均;

原始采样数据相邻四样点累加平均;

宽带频谱分析示例

输入单音信号中心频率为1511MHz,信号功率为0dBm,FFT点数为16384点,窗函数为矩形窗,NCO频率为1500MHz。

输入单音信号中心频率为1511MHz,信号功率为0dBm,FFT点数为16384点,窗函数为汉宁窗。NCO频率为1500MHz。

宽带实时频谱分析系统内部关键模块介绍如下:

ADC实现功能描述:经过射频前端处理的小信号经过ADC射频直接采样得到5GSPS实信号。该实信号传输到FPGA采用JESD204B协议接口。

JESD204B: FPGA内部JESD204B接口模块,用于对ADC传输到FPGA的5GSPS实信号的接收处理,恢复出实信号。

5GSPS实信号:送入DDC模块,实现实信号正交解调为IQ信号,经过抽取滤波与插值滤波配合,得到750MSPS基带IQ信号。

DDC:该模块内部包含NCO,NCO频率可配置,可以实现对NCO中心频率信号的正交解调并将信号搬移至复基带。复基带信号I与Q采样率为5GSPS。对IQ两路信号经过FIR滤波器低通滤波,滤除高频分量。然后再进行抽取率为20/3的滤波抽取,使输出信号满足750MSPS采样率要求。为达到20/3的抽取率,设计3级抽取与插值配合的级联滤波器。第一级为5倍抽取率的抽取滤波器,使用FIR滤波器实现,数据速率降为1GSPS; 第二级为3倍插值率的插值滤波器,使用FIR滤波器实现,数据速率升高为3GSPS;第三级为4倍抽取率的抽取滤波器,使用FIR滤波器实现,数据速率降为750MSPS。

窗函数系数生成模块:按照上位机配置的窗函数类型,自动生成窗函数系数。常用的窗函数有:矩形窗、Hanning、Hamming、Blackman-Harris窗等。时域加窗能够有效降低FFT输出的信号泄露,也可以提升FFT频谱分析的准确性。在选择窗函数时,需要考虑窗函数主瓣的宽度,第一旁瓣的电平以及旁瓣随频率增加而减小的速度。

时域加窗:该模块负责把DDC输出的IQ两路信号与窗函数系数进行一定时间长度的点乘处理。如果实现16384点FFT,则需要连续的16384个IQ样点与相应长度的窗函数系数点对点相乘,完成时域加窗处理。

FFT: 对加窗后的时域IQ样点进行快速傅里叶变换。FFT点可配置,支持:1024/2048/4096/8192/16384。

平滑滤波:对原始采样信号进行两种简单平滑滤波,一种为相邻两点的累加平均滤波;另一种为相邻四点的累加平均滤波。

可选择原始采样信号或者平滑滤波后的采样信号上传至上位机。宽带频谱分析后上传至上位机的数据源,可以是频域IQ数据,也可以为频域功率dB值。上述各种信号通过PCIe接口上传到上位机。

  • 11
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值