Quartus II软件的使用

在这里,我们只是简单的介绍了一下上述的流程图,让大家有个大致的了解,接下来我们就以流水灯实验的工程为例,对每个流程进行详细的操作演示,一步步、手把手带领大家学习使用Quartus II软件。

在这里插入图片描述

在创建工程之前,我们建议大家在硬盘中新建一个文件夹用于存放自己的Quartus工程,

这个工程目录的路径名应该只有字母、数字和下划线,以字母为首字符,且不要包含中文和其它符号。我们在电脑的F盘Verilog文件夹中创建一flow_leds文件夹,用于存放本次流水灯实验的工程,工程文件夹的命名要能反映出工程实现的功能,本次是以流水灯的实验为例,所以这里将文件夹命名为flow_leds。然后在flow_leds文件夹下创建4个子文件夹,分别命名为:doc、par、rtl和sim。doc文件夹用于存放项目相关的文档,par文件夹用于存放Quartus软件的工程文件,rtl文件夹用于存放源代码,sim文件夹用于存放项目的仿真文件。
在这里插入图片描述

接下来启动Quartus II软件,Win键或者直接双击桌面上的Quartus II 13.1 (64-bit)软件图标

在这里插入图片描述
在这里插入图片描述

在菜单栏上选择【File】→【New Project Wizard…】来新建一个工程。

在这里插入图片描述

在“Introduction”介绍页面中,我们可以了解到在新建工程的过程中要完成以下五个步骤:

1、 工程的命名以及指定工程的路径;
2、 指定工程的顶层文件名
3、 添加已经存在的设计文件和库文件
4、 指定器件型号
5、 EDA工具设置
接下来我们可以单击上图页面下面的【Next>】按钮进入下一页。
在这里插入图片描述

右图的第一栏用于指定工程所在的路径;第二栏用于指定工程名,这里我们建议大家直接使用顶层文件的实体名作为工程名;第三栏用于指定顶层文件的实体名。这里我们设置的工程路径为E:/Verilog/flow_led/par文件夹,工程名与顶层文件的实体名同flow_leds。文件名和路径设置完毕后,我们单击【Next】按钮,进入下一个页面

在这里插入图片描述

在该页面中,我们可以通过点击【…】符号按钮添加已有的工程设计文件(Verilog或 VHDL文件),由于我们这里是一个完全新建的工程,没有任何预先可用的设计文件,所以我们不用添加,直接单击【Next】按钮

在这里插入图片描述

这里我们要根据实际所用的FPGA型号来选择目标器件,由于我们开拓者FPGA开发板主芯片是Cyclone IV E系列的“EP4CE30F23C8”,所以在Device Family一栏中选择“Cyclone IV E”。Cyclone IV E系列的产品型号较多,为了方便我们在Available device一栏中快速找到我们开发板的芯片型号,我们在Package一栏中选择FBGA封装,Pin Count选择484引脚,Speed grade速度等级一栏中选择8,之后在可选择的器件中只能看见四个符合要求的芯片型号了,选中“EP4CE30F23C8”,接着我们再单击【Next】

在这里插入图片描述

在“EDA Tool Settings”页面中,我们可以设置工程各个开发环节中需要用到的第三方EDA工具,比如:仿真工具Modelsim、综合工具Synplify。由于本实例着重介绍Quartus II软件,并没有使用任何的EDA工具,所以此页面保持默认不添加第三方EDA工具,直接单击【Next>】就行。

在这里插入图片描述

从该页面中,我们可以看到工程文件配置信息报告,接下来我们点击【Finish】完成工程的创建。

在这里插入图片描述

此时返回到Quartus软件界面,可以在工程文件导航窗口中看到我们刚才新建的flow_led工程,如果大家需要修改器件的话,直接双击工程文件导航窗口中的“Cyclone IV E“EP4CE30F23C8”即可,Quartus显示界面如图所示。

在这里插入图片描述

下面我们就来创建工程顶层文件,我们在菜单栏中找到【File】→【New】,如下图所示。

在这里插入图片描述

弹出如下图所示页面,由于我们使用Verilog HDL语言来作为工程的输入设计文件,所以在Design Files一栏中选择Verilog HDL File,然后点击【OK】按钮。

在这里插入图片描述

这里会出现一个Verilog1.v文件的设计界面,用于输入Verilog代码,如下图所示。

在这里插入图片描述

接下来我们在该文件中编写流水灯代码,流水灯的代码如下图:

module flow_leds(
    input   sys_clk, //系统时钟
    input   sys_rst_n, //系统复位  
    
    output  reg  [7:0]  led //8个led灯
);
reg [25:0] counter;

//计数器对系统时钟的计数,记时1s
always  @(posedge sys_clk or negedge sys_rst_n) begin
    if(!sys_rst_n)
        counter <= 26'd24999999;
    else if (counter < 26'd24999999)
        counter <= counter + 1'b1;
    else
        counter <= 26'd0;
end

//通过移位寄存器控制IO口高低电平,从而改变led的状态
always  @(posedge sys_clk or negedge sys_rst_n) begin
    if  (!sys_rst_n)
        led <= 8'b0000_0001;
    else if (counter == 26'd10)
        led[7:0] <= {led[6:0],led[7]};
    else
        led <= led;
end

endmodule

在这里插入图片描述

接下来我们保存编辑完成后的代码,按快捷键【Ctrl】+【S】或选择【File】→【Sava】,则会弹出一个对话框提示输入文件名和保存路径,默认文件名会和所命名的module名称一致,默认路径也会是当前的工程文件夹

在这里插入图片描述

我们将存放的路径修改为rtl文件夹下,如图所示。点击【保存】按钮即可保存代码文件,然后我们可以在工程文件导航窗口File一栏中找到新建的flow_led.v文件

在这里插入图片描述

在我们的工程中,需要配置双用的管脚。首先我们在Quartus软件的菜单栏中找【Assignments】→【Device…】,出现如图所示页面。

在这里插入图片描述

该页面就是可以重新选择器件页面,然后点击【Device and Pin Options】按钮,会弹出一个设置页面,在左侧Category一栏中选择Dual-Purpose Pin。对于需要使用EPCS器件的引脚时,需要将下图页面中所有的引脚都改成Use as regular IO,如果大家不确定工程中是否用到EPCS器件时,可以全部修改。本次实验只修改了nCEO一栏中,将Use as programming pin修改为Use as regular I/0,设置界面如图所示。

在这里插入图片描述

为了验证代码是否正确,我们可以在工具栏中选择【Analysis & Synthesis】图标来验证语法是否正确,也可以对整个工程进行一次全编译,即在工具栏中选择【Start Compilation】图标,不过全编译的时间耗时会比较长。接下来我们对工程进行语法检查,点击工具栏中的【Analysis & Synthesis】图标,图标的位置如图所示。

在这里插入图片描述

在编译过程中如果没有出现语法错误,编译流程窗口【Analysis & Synthesis】前面的问号会变成对勾,表示编译通过。最后,我们可以查看打印窗口的“Processing”里的信息,如图所示。包括各种“Warning”和“Error”。“Error”是必须要关心的,“Error”意味着代码有语法错误,后续的编译将无法继续,如果出现错误,可以双击错误信息,此时编辑器会定位到语法错误的位置,修改完成后,重新开始编译;而“Warning”则不一定是致命的,有些潜在的问题可以从 “Warning”中寻找,如果一些“Warning”信息对设计没有什么影响,也可以忽略它。

在这里插入图片描述

编译通过以后,接下来我们就需要对工程中输入、输出端口进行管脚分配。可以在菜单栏中点击【Assignments】→【Pin Planner】或者在工具栏中点击【Pin Planner】的图标,操作界面如图所示。

在这里插入图片描述

直接按照我的图引脚编写,退出就可以了。

在这里插入图片描述

我们分配完引脚之后,需要对整个工程进行一次全编译,我们在工具栏中选择【StartCompilation】图标,操作界面如图所示。

在这里插入图片描述

编译完成后的界面如图所示;图中左侧编译流程窗口全部显示打钩,说明工程编译通过,右侧Flow Summary观察FPGA资源使用的情况。

在这里插入图片描述

编译完成后,我们就可以给开发板下载程序,来验证我们的程序能否正常运行。右图为红色飓风开发板的实物图。首先我们将USBBlaster下载器一端连接电脑,另一端与开发板上的JTAG接口相连接;然后连接开发板电源线,并打开电源开关。

在这里插入图片描述

接下来我们在工具栏上找到【Programmer】按钮或者选择菜单栏【Tools】→【Programmer】,操作界面如图所示。

在这里插入图片描述

打开程序下载操作程序下载界面如上图所示;点击页面中的【Hardware Setup…】按钮,选择“USB-Blaster”,如图所示界面。

在这里插入图片描述

如果大家的软件中没有出现USB-Blaster,请检查下是不是USBBlaster没有插入到电脑的USB接口。然后点击Close按钮完成设置。

在这里插入图片描述

接下来回到下载界面,点击【ADD File…】按钮,添加用于下载程序的sof文件,如下图操作。找到“output_files”下面的“flow_led.sof”文件点击【Open】即可。

在这里插入图片描述
在这里插入图片描述

接下来我们就可以下载程序了,点击【Start】按钮下载程序,操作界面如图所示。

在这里插入图片描述

下载程序时,我们可以在Process一栏中观察下载进度,程序下载完成后,可以看到下载进度为100%,如图所示。

在这里插入图片描述

下载完成之后,我们可以看到开发板上的DD1~DD8按顺序点亮,呈现出流水灯的效果,如GIF所示:

在这里插入图片描述

  • 25
    点赞
  • 176
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

KIZAI

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值