Quartus-II入门


①在 Quartus-II 中自己用门电路设计一个D触发器,并进行仿真,时序波形验证
②在 Quartus-II 中直接调用一个D触发器电路,进行仿真,时序波形验证,与y①做比较
③本周选做)在 Quartus-II用Verilog语言写一个D触发器,进行仿真验证,与②做比较

一、新建工程

创建相关的文件夹

文件夹名称文件夹描述
doc存放工程相关文档
par存放Quartus软件的工程文件
rtl存放源代码
sim存放项目的仿真文件

在这里插入图片描述
打开Quartus-II,File->New Project Wizard···
在这里插入图片描述
Next
在这里插入图片描述
填写项目路径和名称
在这里插入图片描述
Next
在这里插入图片描述
选择芯片
在这里插入图片描述
Next
在这里插入图片描述
Finish
在这里插入图片描述

二、实验设计

(一)设计D触发器

创建原理图,file->new ->Design Files->Block Diagram/Schematic File
在这里插入图片描述
摆放所需元件:4 个 nand2 与非门、1 个 not 非门、两个输入管脚和两个输出管脚
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
输入输出也可在工具栏这里找
在这里插入图片描述
仔细将元件的管脚按照原理图连接
在这里插入图片描述
保存
在这里插入图片描述
编译原理图,Tools -> netlist Viewers -> RTL Viewer
在这里插入图片描述
在这里插入图片描述
查看硬件电路图,Tools -> netlist Viewers -> RTL Viewer
在这里插入图片描述
在这里插入图片描述
设置ModelSim ,Tools->Launch Simulation Library Complier
在这里插入图片描述
编译成功
在这里插入图片描述
仿真波形图
在这里插入图片描述
双击空白处,弹出下图所示,点击Node Finder
在这里插入图片描述
选择需要绘制波形图的引脚
在这里插入图片描述
为CLK设置时钟信号
在这里插入图片描述
为D设置几个低电平和高电平

(二)调用D触发器电路

在symbol中搜索dff,放置一个D触发器,然后再放置输入管脚两个输入管脚一个。
并连接为原理图
在这里插入图片描述
在这里插入图片描述
编译原理图文件,查看硬件电路图,创建VWF文件(与前面一样)
波形仿真
在这里插入图片描述

参考文献

【1】Quartus-II之D触发器

  • 14
    点赞
  • 117
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值