ISE14.7后仿真、烧录教程

ISE14.7后仿真、烧录教程

ISE14.7后仿真、烧录教程

系统版本:win10,EDA工具版本:ISE14.7,modelsim SE 10.4,本文主要包含两部分内容,首先是基于ISE的后仿真,基于ISE和modelsim的联合后仿真,然后是基于ISE的烧录固化教程。

基于ISE的后仿真

在这里插入图片描述

声明:本文提到的后仿真是布局布线Implement后的后仿真,综合synthesize后的后仿真和Implement之后的后仿真不同,请注意。

在进行后仿真之前要确保verilog.v文件通过Implement Design,其中 Generate Post-Place & Route Simulation Model系统默认不运行,需要手动运行,运行成功之后,选择simulation
在这里插入图片描述
选择下拉框,选中Post-Route,即可进行布局布线后的后仿真。在仿真之前需要添加testbench文件,并对文件进行编译,编译通过后点击Simulate Post-Place & Route Model即可进入ISE自带的ISIM仿真波形界面
在这里插入图片描述
在这里插入图片描述
红色框设置仿真时长,仿真时长也可以在testbench文件中定义,通过finial语句结束仿真,可以从仿真波形结果中看出,输出波形和时钟上升沿存在约10ns延迟,并且输出信号存在电平不稳定的一段毛刺。

基于ISE和Modelsim联合后仿真

前仿真的方法比较简单,主要用于逻辑验证,后仿真不仅验证逻辑,还需要时序文件,因此后仿真需要支持FPGA的库文件,sdf反标时序文件,verilog.v文件编译后的.v文件,以及testbench文件。
基于ISE和Modelsim联合后仿真虽然复杂,但是modelsim的仿真性能更好,最直观的体验就是ISE自带的ISIM软件仿真1s需要大约几分钟,Modelsim仿真速度更快,因此在FPGA的开发中,modelsim常常被使用。

库文件编译

ISE自带的Xilinix库文件并不能被modelsim直接调用,需要使用modelsim对这些库文件进行编译,具体操作步骤如下:
在这里插入图片描述
将Modelsim的路径添加到ISE中
在这里插入图片描述
选中芯片库文件
在这里插入图片描述
在这里插入图片描述
使用Modelsim编译器,EDK是编译库文件存储的文件夹在这里插入图片描述
右键,run,开始进行库文件编译,编译需要20分钟,在Console中可以看到编译进程
在这里插入图片描述
库文件,编译完成后,在EDK文件下可以看到新增了部分文件夹,这就是该型号FPGA芯片对应库文件编译后的文件
在这里插入图片描述

Modelsim中进行后仿真

在这里插入图片描述
ISE在进行Generate Posr-Place这一操作后,会在工程文件夹/netgen文件夹下生成par文件夹
在这里插入图片描述
在这里插入图片描述
该文件夹下包含三个文件,其中SDF文件就是反标文件,包含布局布线后的延时参数,V文件就是RTL级V文件在编译后生成的由底层元件构成的V文件,在后仿真中,需要使用底层元件的V文件,testbench文件以及SDF文件
在这里插入图片描述
在进行modelsim仿真时,新建仿真工程文件,然后
将netgen文件夹拷到modelsim工程文件目录下**,不然仿真会报错,netgen文件夹和modelsim工程文件夹中的word文件夹在同一目录下
在这里插入图片描述
在工程文件中添加testbench文件和使用底层元件的V文件,不是编写的RTL级的V文件,而是netgen/per文件夹下的V文件,编译通过后,进入work目录下
在这里插入图片描述
在这里插入图片描述
此时Work文件夹下包含三个文件,testbench文件,V文件和glbl文件
在这里插入图片描述

选中Design,添加work文件夹下的三个文件到Design Unit中,不要勾选Enable optimization
在这里插入图片描述
选中Library,将EDA文件夹下编译好的4个库文件导入
在这里插入图片描述

导入SDF文件,确保导入的SDF文件和Modelsim工程文件夹下拷贝的netgen/per文件下的SDF文件保持一致即可,切记要勾选Disable SDF wainings 和Reduce SDF errors ti wainings,不然会报错,点击OK,补充:region需要命名为/tb文件名/tb文件中的元件实体名,本项目tb文件中的元件实体名为uut
在这里插入图片描述
通过后仿真波形可以看出输出和时钟上升沿存在10ns延迟,并且输出存在明显的毛刺。

烧录固化

参考链接:https://blog.csdn.net/ciscomonkey/article/details/90142425

注释:仅供学习参考。

  • 14
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
ISE 14.7是Xilinx公司的一款FPGA设计软件,这里是ISE 14.7手把手使用教程: 1. 下载并安装ISE 14.7软件 首先需要在Xilinx公司的官网上下载ISE 14.7软件,并按照提示进行安装。安装完成后,可以在安装目录下找到ISE软件。 2. 创建一个新工程 打开ISE软件后,选择“File”菜单中的“New Project”选项,弹出“New Project Wizard”向导,按照提示进行设置,包括工程名称、项目目录、FPGA型号等。 3. 添加源文件 在新建工程后,需要添加设计文件。在ISE软件中,设计文件包括VHDL文件、Verilog文件、UCF文件等。可以使用ISE自带的编辑器进行编写,或者使用其他编辑器编写后再添加到ISE中。添加文件后,需要进行约束(Constraints)设置,包括时钟频率、时序等。 4. 进行综合、实现和下载 完成设计文件和约束设置后,需要进行综合和实现。综合是将设计文件转换成逻辑门电路,实现是将逻辑门电路映射到FPGA器件中。完成综合和实现后,可以生成比特流文件(Bitstream),用于下载到FPGA器件中。下载可以使用Xilinx公司提供的下载工具或者第三方下载工具。 5. 调试和优化 在下载完成后,需要进行调试和优化。可以使用ISE提供的仿真工具进行仿真,或者使用外部的仿真工具进行仿真。调试和优化包括时序约束调整、时钟域划分、资源占用优化等。 以上就是ISE 14.7手把手使用教程的基本步骤,希望能够帮助到你。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值