(12)ISE14.7仿真流程(FPGA不积跬步101)

54 篇文章 18 订阅 ¥99.90 ¥299.90

名言:努力成为一个优秀的人!

1 ISE14.7仿真器

ISE14.7开发软件除了编译功能,还支持代码仿真功能,不用关联第三方仿真软件也可以进行设计仿真。

2 ISE14.7仿真流程

使用ISE14.7自带仿真器进行仿真流程如下:

1)第一步,使用ISE14.7建立工程、编写待验证模块(led.v)、编写测试激励(tb_led.v);
说明:新建一个测试文件,verilog test fixture,文件名为tb_led。

2)第二步,开始仿真。
a)选择Simulation--->双击Behavioral Check Syntax(验证语法错误)--->双击Simulation Behavioral Model(弹出仿真界面)。
b)  右键tb_led--->Add To Wave Window--->Run All(运行仿真)--->Break(停止仿真)--->查看波形。

3 结束语

如果遇到问题,可以一起沟通讨论,邮箱:jhqwy888@163.com。

  • 3
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

宁静致远dream

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值