关于quartus 管脚分配问题:ID:169181

在quartus分配管脚的时候出现如下问题:Error (169181): Cannot place I/O pin LD_Discharge[10] with I/O standard 3.3-V LVTTL in pin location 11 -- possible switch coupling with I/O pin spi_sclk in pin location 12.

12管脚属于DCLK,是一个多功能复用管脚,在工程中我用做普通IO使用。11管脚属于普通IO管脚,用做输出。

查阅文档,造成出现上述问题的原因是Toggle Rate规则检查,可以百度一下这个问题。解决方案就是在11管脚或12管脚添加一个约束就可以。IO_MAXIMUM_TOGGLE_RATE assignment to 0 MHz,在pin planner中设置如下图所示。

也可以在assignment editor中设置:

 

 然后重新编译工程,解决这问题。

  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值