QuartusII绑定引脚时出现错误:Error: Can‘t place multiple pins assigned to pin location Pin_108 (IOC_X34_Y2_N0)

一、错误描述

今天在使用QuartusII画计组课设的电路图时,绑定硬件平台的108引脚时发生了一个错误
错误信息如下

Error: Can't place multiple pins assigned to pin location Pin_108 (IOC_X34_Y2_N0) 	
Info: Pin M is assigned to pin location Pin_108 (IOC_X34_Y2_N0) 	
Info: Pin ~LVDS54p/nCEO~ is assigned to pin location Pin_108 (IOC_X34_Y2_N0)

上网查了一下,发现这个108引脚是一个多功能引脚,而在这个电路图中我只作为普通IO输入输出而已,因此需要调整QuartusII中的设置才能解决

二、解决

这里我使用的是QuartusII8.0版本,这个版本和其他版本的位置不大一样

1.选择最上方Assignments目录下的子目录Device
在这里插入图片描述
2.选择左边的Device选项,再选择右边的Device and Pin Options
在这里插入图片描述
3.选择右上方的Dual-Purpose Pins
在这里插入图片描述
4.选择下面的nCEO,双击右边的Value,修改为 Use as regular I/O 即可

之后点击确定即可
在这里插入图片描述
重新编译,就没有错误了

  • 8
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 4
    评论
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值