关于modelsim 10.2c建立工程出现错误:‘can‘t read “Project(EchoCompileOutput)“: no such element in array’的临时解决办法。

今天在用modelsim建立工程时突然发现控制台蹦出了如题的错误信息,点掉报错对话框之后再open new project也没有任何反应。这里我只好采取了应急的办法如下:因为modelsim默认打开上次使用的工程,所以在view里找到files一栏,点击弹出设计文件界面,任选一个设计文件单击右键,点击close project,这样就可以关闭当前工程了。再次点击open new project,就不会报错,并且成功弹出新建工程向导了。欢迎知道如何彻底解决这个问题的大神留言,谢谢!

  • 2
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值