Verdi使用小结【持续更新】

本文总结了Verdi工具看波形的基本操作和实用技巧,包括快捷键使用、信号管理、颜色定制、查找功能、状态机显示以及波形文件保存。通过这些技巧,可以高效地进行FPGA开发中的波形分析和调试。
摘要由CSDN通过智能技术生成

Verdi看波形的基本操作小结

快捷键:(大写字母=Shift+小写)

在代码窗口,单击选中信号,此时处于高亮状态,按Ctrl+w,可以将信号发送到波形窗口。

g get, signlas添加信号,显示波形
n next, Search Forward选定信号按指定的值(上升沿,下降沿,both,指定Value)向前跳转
N 与n功能相同,方向向后
y Keep Cursor at Centor(开关)移至中央并保持居中,再按取消固定居中
c color,调整所选信号的波形显示颜色,线的粗细和类型,非常方便Debug
f full, Zoom All波形全部显示
z Zoom Out波形缩小,一般配合鼠标放大非常方便
Z Zoom In 波形放大
l last view,上次波形位置,相当于Vim里的``或’’
L 重新加载波形或设计文件,这个很方便,在新一次仿真完成之后Roload即可
b begin移动Cursor到波形开头
e end移动Cursor到波形结尾
r restore signa

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值