计组实验四位加法器设计

QUARTUS2四位加法器

要求Verilog HDL语言设计一位全加器电路,调用一位全加器符号模块,顶层采用原理图方式设计四位加法器。

一位全加器的符号:包括3个输入端和2个输入端
在这里插入图片描述
链接:https://pan.baidu.com/s/1GwJ2FukNtzbH6KJ8Xm5QSA
提取码:hw4w
四位全加器原理图:
在这里插入图片描述
一位全加器代码:
module jizushiyan1(a, b, cin, cout, sum);
input a, b, cin;
output cout, sum;
assign {cout, sum}=a+b+cin;
endmodule

  • 1
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值