3-8译码器

工程创建步骤和仿真设置步骤参考第一篇笔记:https://blog.csdn.net/qq_43110479/article/details/115472241

my3_8.v 代码

module my3_8(a,b,c,out);

	input a,b,c;

	output reg [7:0]out;

	always@(a,b,c)begin	
		case({a,b,c})
			3'b000:out=8'b0000_0001;
			3'b001:out=8'b0000_0010;
			3'b010:out=8'b0000_0100;
			3'b011:out=8'b0000_1000;
			3'b100:out=8'b0001_0000;
			3'b101:out=8'b0010_0000;
			3'b110:out=8'b0100_0000;
			3'b111:out=8'b1000_0000;
		endcase
	end
	
endmodule

注意只要是在always@ 语句赋值的变量,一定要定义成reg型!
若是output reg [7:0]out;这一行不采用reg型,则报错。

my3_8_testbench.v 代码

`timescale 1ns/1ps

module my3_8_tb;

	reg signal_a;
	reg signal_b;
	reg signal_c;
	
	wire [7:0]led;
	
	my3_8 my3_8_1(
	.a(signal_a),
	.b(signal_b),
	.c(signal_c),
	.out(led)
	);
	
	initial begin
		signal_a = 0; signal_b = 0;signal_c = 0;#100;
		signal_a = 0; signal_b = 0;signal_c = 1;#100;
		signal_a = 0; signal_b = 1;signal_c = 0;#100;
		signal_a = 0; signal_b = 1;signal_c = 1;#100;
		signal_a = 1; signal_b = 0;signal_c = 0;#100;
		signal_a = 1; signal_b = 0;signal_c = 1;#100;
		signal_a = 1; signal_b = 1;signal_c = 0;#100;
		signal_a = 1; signal_b = 1;signal_c = 1;#100;
	end

endmodule

运行仿真

编译后运行仿真发现,没有波形。
在这里插入图片描述
查看ModelSim ALTERA的tanscript发现,‘out’ port不能连接。

更改代码:

my3_8.v:
output reg [7:0]out; -->output reg [7:0] out;
my3_8_testbench.v:
wire [7:0]led; --> wire [7:0] led;

再次仿真:

在这里插入图片描述

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值