自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(85)
  • 资源 (9)
  • 收藏
  • 关注

原创 FIFO的使用攻略(一看就会)

FIFO的原语和IP使用方式

2022-08-09 15:47:57 7691

原创 vivado流程导航器详细介绍【全网最详细】

Vivado流程导航器详细介绍【全网独一份,总结各种小选项的中文意思,方便大家使用选择最正确的选项

2022-08-08 17:30:43 5423

原创 NTSC和PAL制同步信号模拟输出

NTSC和PAL同步信号模拟输出附带已验证的代码

2023-09-08 15:08:47 2548 6

原创 状态机总结(简洁)

状态机简写为 FSM(Finite State Machine),也称为同步有限状态机,我们一般简称为状态机,之所以说“同步”是因为状态机中所有的状态跳转都是在时钟的作用下进行的,而“有限”则是说状态的个数是有限的。状态机的每一个状态代表一个事件,从执行当前事件到执行另一事件我们称之为状态的跳转或状态的转移,我们需要做的就是执行该事件然后跳转到一下时间,这样我们的系统就“活”了,可以正常的运转起来了。

2022-11-18 15:41:56 2896 1

原创 硬件调试流程(工作总结)

硬件调试流程

2022-11-18 15:04:09 1782

原创 SPI—学习总结

SPI总结一、含义Serial Peripheral interface串行外围设备接口,高速、全双工、同步的通信总线。Motorola(摩托罗拉)首先在其MC68HCXX系列处理器上定义的,用一根单独的数据线和一个单独的时钟信号来保证发送端和接收端的同步。时钟是一个振荡信号,确定输出传输的开始和结束(数据采集的时机可能是时钟的上升沿或下降沿。主从模式(产生时钟的一侧称为主机),可以一主多从工作场景。二、接口含义SCK(SCLK):时钟信号线,SPI的通讯速率。SPI总线上的主机必须在通信开

2022-04-27 15:34:52 3111

原创 UART——学习总结

UART一、含义:通用异步收发传输器(Universal Asynchronous Receiver/Transmitter,通常称作UART) 是一种串行异步收发协议,.二、工作原理:将数据的二进制位一位一位的进行传输。在UART通讯协议中信号线上的状态位高电平代表1低电平代表0。当然两个设备使用UART串口通讯时,必须先约定好传输速率和一些数据位。三、通信协议1、空闲位:UART协议规定,当总线处于空闲状态时信号线的状态为1即高电平2、起始位:开始进行数据传输时发送方要先发出一个低电平0

2022-04-27 15:04:06 2915

原创 学习笔记——数码管驱动实例(FPGA)

一、理论概念1、数码管的分类数码管是一种半导体发光器件,其基本单元是发光二极管,常见的数码管有七段数码管(八段数码管少一个小数点位置)、八段数码管和其他类型数码管(下图中的“米”字管)如下图所示:本次实验使用的是八段数码管,八段数码管如下所示,是一个“8”型数码管,分为八段,对应abcdefg和小数点dp,每一段就是一个发光二极管,这样的八段我们称为段选,一位八段数码管常用十个管脚,没有一段对应一个管脚,另外两个管脚对应的是公共端(com端,内部导通)如下所示:八段数码管还分为共阴极数

2021-03-28 21:29:51 8741 1

原创 学习笔记——simulink的建模与仿真流程

例子下图是一个RLC振荡电路。一下有初始条件以及求解量我们首先从数学的角度解一下此题,根据基尔霍夫电压定律我们列解电压方程现在我们就将电路模型转换成了数学模型,这就用到了我们的模电的知识了。然后我们就需要解方程。首先我们知道,从数学角度来讲,线性常系数二阶微分方程,是包含通解和特解的。那么从物理意义上来看,通解和特解实际上对应的就是这个电路的自由振荡项和受迫振荡项。同图,上图中国的Vcn是自由振荡项,Vcf是受迫振荡项。受迫振荡项通过初始条件进行求解。通过特征方程可以求出K1和K2以及相

2021-03-21 22:19:57 11696

原创 学习笔记——simulink基本操作

一、启动方式此次以MATLAB R2019a版本为例进行阐述说明**方法一:**点开MATLAB软件界面在工具栏就有simulink的标识,直接点开就可以啦!方法二:在命令行窗口键入“simulink”点击回车即可。新建常用模块...

2021-03-21 21:23:20 4654

原创 模拟信号数字化传输系统的设计与仿真分析说明书

一、设计题目、内容及要求设计题目:模拟信号数字化传输系统的设计与仿真分析内容及要求:1.了解MATLAB/Simulink的运行环境及应用领域;2.逐步熟悉模拟信号数字化传输系统的仿真过程,由简到难;3.系统仿真及波形分析(1) 模拟信号抽样过程原理与仿真分析;(2) 模拟信号量化过程原理与仿真分析;(3) PCM编译码系统设计与仿真分析;(4) DPCM编译码系统设计与仿真分析。(选做) (5)在高斯信道下对PCM系统的性能进行仿真分析。二、设计原始资料通信原理;软件MATLAB;

2021-03-14 18:50:34 7259

原创 学习笔记——基于PLL分频计数的LED闪烁实例

一、PLL概述PLL(Phase Locked Loop):为锁相回路或锁相环,用来统一整合时脉讯号,使内存能正确的存取资料。PLL用于振荡器中的反馈技术。许多电子设备要正常工作,通常需要外部的输入信号与内部的振荡信号同步,利用锁相环路就可以实现这个目的。时钟就是 FPGA 运行的心脏,它的每次跳动必须精准而毫无偏差(当然现实世界中不存 在所谓的毫无偏差,但是我们希望它的偏差越小越好)。一个 FPGA 工程中,不同的外设通常工作在不同的时钟频率下,所以一个时钟肯定满足不了需求;此外,有时候可能两个不同

2021-03-07 22:45:05 1998

原创 学习笔记——状态机

一、定义状态机是有限状态自动机的简称,是现实事物运行规则抽象而成的一种数学模型将电路的全部工作方式,分成几个场景,这些场景的工作方式明显不同,然后将这些场景通过数学模型表示出来二、分类1、摩尔Moore状态机输出只和状态有关而与输入无关状态表2、米莉Mealy状态机输出不仅和状态有关而且和输入有关系状态表三、简单举例人有三个状态健康, 感冒,康复中。触发的条件有淋雨(t1),吃药(t2),打针(t3),休息(t4)。所以状态机就是健康-> (t4) ->健康;健

2021-02-28 23:29:58 3742

原创 学习笔记——按键控制流水灯实例

功能介绍之前的流水灯实验就仅仅是流水灯的一个状态。这次,我们就使用一个拨码开关和2个独立按键控制流水灯各种不同变化模式。我们想要实现的功能如下图所示:如上图所示:当拨码开关 SW3 处于 OFF 时, LED 停止不动,只有一个 LED 处于点亮,并且点亮的 LED 不会变化;而SW3 处于 ON 状态时,流水灯处于流动状态。按键 S1 被按下后,LED 流动方向是从上到下(D9 到 D2 方向);导航按键 S2被按下后,LED 流动方向是从下到上(D2 到 D9)。模块框图该模块共有

2021-02-21 20:49:11 12809

原创 学习笔记——按键消抖与LED开关实例(FPGA)

一、独立按键1、前言首先,我们在学习单片机的时候也学过独立按键和矩阵按键,这就给我们今天的这个学习打下了基础,天下按键都一样。键盘分为两种,编码键盘和非编码键盘。编码键盘:键盘上闭合键的识别由专用的硬件编码器实现,并产生键编码号或键值的称为编码键盘,如计算机键盘。非编码键盘:靠软件编程来识别的称为非编码键盘。分为独立键盘和行列式键盘(矩阵键盘)2、简介独立键盘:接触式按键又称轻触开关,这里的接触指的是机械嵌入式CPU的一个GPIO口对应按键输入,这个输入值的高低状态就是键值。简单的说,这个

2021-02-01 21:52:22 1953 1

原创 学习笔记——3-8译码器实例(FPGA)

一、3-8译码器简介1、简介译码是编码的逆过程。其功能是将具有特定含义的二进制码进行辨别,并转换成控制信号,具有译码功能的逻辑电路称为译码器。如果有n个二进制选择线,则最多可译码转换成2n个数据。2、功能:将输入的3位2进制数翻译成10进制的8位输出。3-8译码器输入是二进制。3只脚也就是3位二进制数。输入可以3位二进制数。3位二进制最大是111 也就是8。3-8译码器输出是8个脚,表示10进制。是根据输入的二进制数输出。如果输入是101 那么就是第5只脚高电平,表示二进制数是5。3-8

2021-01-24 22:22:24 65323 3

原创 学习笔记——流水灯实例(FPGA)

一、功能简介如图所示:开发板上左上角有八个LED灯。下图是LED灯的电路图。由图可知,这些LED灯,正极连接51Ω限流电阻后到3.3Ⅴ电压。负极都连接到FPGA的I/O口上。有电路可知。我们可以通过I/O口的高低电平控制LED灯的亮灭,这和通过高低电平控制蜂鸣器的声响是同一个道理。只有两个状态,那就是响与不响,和亮与不亮。但是不同之处在于蜂鸣器是通过拨码开关控制,而且之前的拨码开关只是设置了一个开关控制蜂鸣器。现在这个是有多个灯需要我们控制。流水灯的话,就需要我们循环点亮。循环的话就是有一个

2021-01-17 22:02:31 2064

原创 SM4加密(代发)

SM4分组密码算法一:SM4概念SM4分组密码算法,原名SMS4,是国家密码管理局于2012年发布的密码行业标准之一(http://www.gb688.cn/bzgk/gb/newGbInfo?hcno=7803DE42D3BC5E80B0C3E5D8E873D56A)。与DES和AES算法类似,SM4算法是一种分组密码算法。其分组长度为128bit,密钥长度也为128bit。加密算法与密钥扩展算法均采用32轮非线性迭代Feistel结构,以字(32位)为单位进行加密运算,每一次迭代运算均为一轮变换函

2021-01-16 16:34:50 20787 1

原创 学习日记——蜂鸣器开关实例(FPGA)

一、新建工程

2021-01-10 18:20:38 6314 4

原创 学习日记——LDO稳压器(浅谈)

一、概念

2021-01-03 22:40:43 4876

原创 学习笔记——Verilog语法(可综合的语法子集)

可综合的语法子集1、模块声明类语言:module…endmodulemodule后加该模块的命名,取名没有任何限制,随后加“()”内罗列出该模块的所有输出/输入端口信号名。用法描述module my_first_prj(<端口信号列表> … );<逻辑代码> …endmodule2、端口声明:input(输入信号)、output(输出信号)inout(双向)每个module都有输入和输出的信号用于和外部器件或其他module进行连接。所以要在module后的“

2020-11-15 22:04:08 4770

原创 学习日记——PLL锁相环

1、PLL概念中文名称锁相环或锁相回路。2、作用数字电路中产生精准的时钟信号,用于振荡器的反馈技术。锁相环可以通过3、工作原理如下图所示锁相环就是是通过鉴相器检测电压控制振荡器的相位和基准晶振的相位的误差值,将检测出的相位差信号转换成电压信号输出,然后再通过低通滤波器滤波的电压再做压控振荡器的控制电压并对振荡器输出信号的频率进行控制。其实就是通过反馈电路将电压控制振荡器的相位和基准信号的相位保持一致。4、倍频原理PLL:就是用一个压控振荡器 (VCO - VOLTAGE CONT

2020-11-14 19:30:40 2040

原创 学习笔记——FPGA与CPLD的联系与区别

一、FPGA与CPLD的联系

2020-11-14 16:48:02 10099

原创 学习日记——Verilog语法简介

一、HDL语言简介FPGA开发所使用的代码 ,我们通常称之为硬件描述语言( Hardware Description Language) 目前最主流的是VHDL和VerilogVHDL发展较早 ,语法严谨;Verilog类似C语言,语法风格比较自由二、HDL语言学习方法(此经验是从被人那里学来的,一起跟着方法去做)1、需要同时掌握VHDL和Verilog建议初学者先掌握其中一门 ,至于到底先下手哪-一门,则需要读者根据自身的情况做考量也别忘了兼故另一门,无论哪一种语言,至少咱也要能看懂

2020-11-08 22:06:32 829

原创 学习日记——FPGA实验平台板级电路详解

一、板级电路整体架构我接下来一段时间学习的就是“勇敢的芯”FPGA 实验平台,它是特权同学和至芯科技携手打造的一款基于Altera Cyclone IV FPGA 器件的入门级 FPGA 学习平台FPGA 实验板实物图如图所示这是整板的外设器件的示意图。FPGA 实验板接口芯片连接如图所示二、电源电路与任何电子元器件一样,FPGA 器件需要有电源电压的供应才能工作。尤其对于规模较大的器件,其功耗也相对较高,其供电系统的好坏将直接影响到整个开发系统的稳定性。所以,设计出高效率、高性能的 FP

2020-11-08 21:46:01 4913

原创 学习日记——FPGA入门基础

一、FPGA基础概念1、FPGA是什么FPGA 就是“可反复编程的逻辑器件”。FPGA(Field Programmable GateArray)是在PAL、GAL等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。FPGA 取自 Field Programmable Gate Array 这四个英文单词的首个字母,译为“现场(Field)可编程(Programmable)逻辑阵列(

2020-11-08 20:46:19 3093

原创 学习日记——Quartus工程创建与运行

一、新建工程双击电脑桌面上的“Quartus II 13.1 (64-bit)”图标,或者单击“开始→程序→Altera13.1.0.162 →Quartus II 13.1.0.162”,打开 Quartus II 软件。Quartus II 软件主界面如图所示第一次打开软件,通常默认由菜单栏、工具栏、工程文件导航窗口、编译流程窗口、主编辑窗口以及各种输出打印窗口组成。下面我们要新建一个工程,在这之前建议大家在硬盘中专门建立一个文件夹用于存储我们的 Quartus II 工程,这个工程目录的路径名

2020-11-01 22:00:30 7886 1

原创 学习日记——Quartus II 13.1安装

一、Quartus II 13.1安装1、安装包链接:https://pan.baidu.com/s/1p3HCiV17U3-6yYvclc4B-w提取码:hp602、运行安装程序将上述安装包解压(单击右键,选择解压到当前文件夹即可)进入解压文件之后,我们可以选择自己按照文件中的“看我”文件独立安装,也可以按照以下步骤进行安装。双击“ModelSimSetup-13.1.0.162”或者右击“ModelSimSetup-13.1.0.162”点击以管理员身份运行。之后一直点确定直到下面“

2020-10-25 10:34:19 4727

原创 学习日记——USB-Blaster 的驱动安装

通过 USB 电缆,将 USB-Blaster 与 PC 机相连。同时可以打开电脑的“设备管理器”。此时,“设备管理器”中的“其他设备→USB-Blaster”前面有黄色的叹号,说明驱动还未安装好。右击Altera USB-Blaster,点击更新驱动程序。点击浏览我的计算机以查找驱动程序软件。点击“…"选择驱动所在文件夹片刻后,可能会弹出如图所示对话框,点击“安装”。驱动安装完毕在“设备管理器”的“通用串行总线控制器”下出现了“Altera USB-Blaster”的选项,并且

2020-10-24 22:06:40 17855 5

原创 学习日记——文本编辑器 notepad++安装

一、安装包链接:https://pan.baidu.com/s/12spZNph3iqum1K6EsMW7wQ提取码:s0kv二、安装步骤进入“notepad++”文件夹下,双击可执行文件“notepad++.exe”,如图所示,语言选择“Chinese(Simplified)”,点击“OK”。点击“下一步”继续。点击“我接受”继续。如图所示,安装路径建议使用 C 盘的默认路径,比较所需空间才 4.4MB,然后点击“下一步”继续。如图所示,“选择组件”下面的勾选使用默认设置就够,点

2020-10-24 21:43:27 429

原创 学习总结——小熊派+ESP8266

文章目录小熊派一、知识点二、感想小熊派一、知识点1、HAL库的使用2、点亮LED灯3、配置GPIO4、按键输入(循环查询、外部中断)5、串口6、模数转换7、定时器8、单片机内部flash读写9、GPS定位二、感想首先我拿到小熊派开发板的时候,我的第一感觉就是这个板子颜值好高。它的整体是一个蝴蝶的形状。支持很多模组,还有许多案例。适合初学者学习物联网...

2020-10-22 21:54:46 2033

原创 学习日记——时钟温湿计_Demo

程序例程如果成功接入则进入SNTP初始化如果连接时候wifi错误或者是密码错误进入微信智能配网。以上步骤和微信智能配网相同,增加了SNTP初始化这一步。配网成功也执行SNTP初始化SNTP初始化执行完毕之后每隔一秒种获取网络时间。并且每个五秒钟读取一次DHT11的温湿度数据。实验现象编译过程,下载过程,打开串口,复位esp8266.esp8266目前是STA模式,没有找到对应的WIFI。开启智能配网。智能配网成功由于失误,之前把我的温湿度的模块烧了。所以现在无法展

2020-10-12 00:01:21 201

原创 学习日记——微信智能配网

当我们将8266产品,从一个 WiFi 环境,拿到另一个 WiFi 环境中,如果没有智能配网功能,我们只能在程序中修改【WiFi名 +WiFi密码】,重新烧录程序,非常麻烦。8266配网的方式有很多,包括网页配网、APP配网、微信配网等。SmartConfig接口如果想使用智能配网功能,就必须包含smartconfig.h头文件。(1)快速连接模式(2)关闭快连模式(3)设置快连模式的协议类型了解以上API即可,如需了解更多,请查看文档...

2020-10-11 23:35:42 1229

原创 学习日记——IIC_OLED

文章目录一、I2C使用说明1、简介2、I2C管脚3、I2C相关API4、原理图二、使用I2C驱动OLED1、文件的添加**2、如何使用OL ED驱动函数:**三、OLED例程参考链接一、I2C使用说明1、简介ESP8266目前提供的I2C主设备的接口,可以对其他I2C从设备(例如大多数传感器)进行控制与读写。ESP8266没有硬件I2C外设。其I2C功能是由GPIO模拟产生的。I2C的时钟频率为100KHZ.2、I2C管脚IO14作为I2C的SCL;IO2作为I2C的SDA3、I2

2020-10-11 22:00:17 788

原创 学习日记——腾讯云物联网平台

文章目录产品架构接入方式计费价格消息数计费规则具体价格表创建云端设备创建产品创建设备MQTT参数产品架构物联网通信提供灵活的设备接入方式,用户设备可基于 CoAP、MQTT等主流协议接入,实现设备管理,设备影子,消息通信等功能。同时对接丰富的云端服务组件和资源,为多个物联网场景打造采集+存储+计算的全栈服务。腾讯云的产品构架和百度云,阿里云类似,都是云下设备通过MQTT协议接入云平台,并可以通过规则引擎转发数据。接入方式SDK 接入:目前支持 Linux 、Android 平台的 SDK

2020-10-09 21:19:12 3225 3

原创 学习日记——阿里云物联网平台

文章目录前言一、阿里云物联网平台产品架构产品规格计费标准MQTT二、阿里云创建产品和设备1、开通物联网平台2、创建产品3、添加设备接入阿里云物联网平台规则引擎总结前言如何使用阿里云物联网平台,和如何连接物联网平台。一、阿里云物联网平台产品架构设备通过MQTT等协议将数据上报到阿里云物联网平台,之后通过规则引擎,将数据发送到阿里云其他云服务,来实现需要的功能。产品规格基础版:提供安全可靠的设备连接能力,提供设备管理和固件升级能力,支持规则引擎进行数据引流。高级版:在基础版的所有功能的基础上,

2020-10-04 18:54:33 2530

原创 学习日记——物可视

百度云物联网组件图物可视的数据源可以是物管理,我们的设备可以通过MQTT协议将数据上报给物管理。物管理再将我们上报的数据作为物可视的数据源。我们的设备还可以将数据上报到物接入,之后通过规则引擎来将数据发送给时序数据库。时序数据库再作为物可视的数据源。物可视的数据源还可以是静态数据。【物管理】注意:1 【物管理】接入协议:MQTT、HTTP,可与物引擎、告警服务、物可视等产品无缝对接;2 【物模型】:由一个或多个属性构成的,可以用来表示一类设备;3 【物影子】:对应着现实世界中的一台真实设备

2020-10-03 20:41:49 876

原创 学习日记——物联网云平台组件(云消息的后续处理)

百度云物联网组件图设备通过MQTT等协议将数据上报到百度云平台,百度云通过主题来将设备分发给其他设备,并且可以通过规则引擎来将数据发送给时序数据库对象存储等等其他云服务,来实现我们想要的各种功能。规则引擎一、规则引擎简介使用规则引擎功能,可将Topic中的数据消息转发至其他Topic或其他百度云产品进行存储或处理。当设备基于Topic进行通信时,我们可以在规则引擎中编写SQL对Topic中的数据进行数据转换处理,并配置转发条件将处理后的数据过滤并转发到其他设备Topic或百度云其他服务。

2020-10-03 15:51:16 553

原创 学习日记——《MQTT-JX》例程讲解(完结版)

头文件#include "ets_sys.h"#include "driver/uart.h"#include "osapi.h"#include "mqtt.h"#include "wifi.h"#include "config.h"#include "debug.h"#include "gpio.h"#include "user_interface.h"#include "mem.h"#include "sntp.h"在写主程序之前需要把这些头文件都添加上,不然大家需要自己写一

2020-09-24 18:01:16 975 1

原创 学习日记——天工物接入介绍(百度云)

物接入物接入loT Hub是全托管的云服务,通过主流的物联网协议(如MQTT) 通讯,可以在智能设备与云端之间建立安全的双向连接,快速实现物联网项目.支持亿级并发连接和消息数,建立海量设备与云端安全可靠的双向连接,无缝对接天工平台和百度云的各项产品和服务。可以利用物接入loT Hub来作为搭建物联网应用的第一步。1、提供SDK接入方式...

2020-09-14 17:13:20 2323

EIA/CEA-861-B

该标准定义了视频时序要求,发现结构和数据传输结构,用于在数字电视或者数字电视监视器上构建未压缩的基带数字接口。所有接口都使用 以一种可以被源设备发现的方式描述支持的视频格式。

2023-09-08

模拟信号数字化传输系统的设计与仿真分析任务书.doc

通信原理课设中模拟信号数字化传输系统的设计与仿真分析任务书

2021-03-18

DHT11-原理图.pdf

温湿度传感器的原理图

2021-03-18

DHT11-产品手册.pdf

温度传感器产品手册

2021-03-18

ESP-12F.pdf

ESP8266物联网模块中ESP-12F

2021-03-18

SF-CY4 PCB layout.pdf

SF-CY4 PCB原理图

2021-03-18

Chapter1 天线辐射与接收的基本理论.ppt

天线技术中第一章天线辐射与接受的基本理论。通信工程大三下半年课程

2021-03-18

第一章 绪论.pptx

光纤通信第一章绪论

2021-03-18

SF-CY4 外形尺寸图.pdf

学习SF-CY4的小伙伴可以使用哦

2021-03-18

SF-LCD 液晶转接板原理图.pdf

SF-LCD 液晶转接板原理图。学习FPGA的小伙伴们可以下载使用

2021-03-18

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除