Simulink HDL Coder FPGA初级开发实践(四) 自动贩卖机建模

7 篇文章 17 订阅
7 篇文章 11 订阅

前言: 本栏目除特别说明以外,均采用的黑金AX7103开发板,该开发板时钟频率为200M,并且是双端时钟,因此在每个项目中都有一段原语将双端时钟变成200MHz的单端时钟。文章仅作为学习记录,如有不足请在评论区指出,博主不会对各位的问题作出解答,请谅解。博主深知网络上关于HDL Coder的资料十分稀少,特别是中文资料几乎没有,并且官方给出的例子大多挺难不适合入门,因此将自己摸索的过程记录下来,希望给后人一些启发。

1. Simulink 模型

在这里插入图片描述
开发板有 4个LED灯,2个用户按键。 KEY1代表五毛,KEY2代表1元,每增加五毛亮一个灯,售卖机中有一瓶可乐售价为2.5元,投入2.5元后出货,3元找零,通过两个方向的流水灯来区分。
subsystem中是两个消抖模块,不多介绍,这里查看状态机的转移:
An表示亮n个灯,A5表示出货,A6表示找零。

在这里插入图片描述
我们重点看流水灯的实现:
在这里插入图片描述
由于生成文件较多,所以这里不贴代码了。

2. 完整代码

链接:https://pan.baidu.com/s/1JCZMlLzBddtg9Ao7pU4guA?pwd=1111
提取码:1111
–来自百度网盘超级会员V6的分享

3. 完整使用流程

如果对HDL Coder的使用流程不熟悉,请根据另一篇文章从头练习一边,见Simulink HDL Coder FPGA开发实践之 基本使用流程介绍

  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

肆拾伍

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值