System Verilog 字符串类型

3.System Verilog 相比于verilog-1995新引入了 string字符串类型,以下关于字符串类型说法错误的是?、已知: 

  string s,a;   

s = "SVSVSV";

A.$display(s.getc(1)); 显示"V"

B.a=s.tolower(); a的内容为:"svsvsv"

C.a=s.substr(2,5)); a的内容为:"SVS"

D.s = {s,"sv"}; s的内容变为:"SVSVsv"

正确答案:D

解析:A:getc(N)返回的是第N个位置的字符

D:S="SVSVSVsv"

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值