【路科V0】systemVerilog基础3——字符串类型

        Verilog语言对于字符串的处理手段非常有限。SV引入了string类型用来容纳可变长度的字符串。

 SV中字符串的特点:

  •          字符串类型变量的存储单元为byte类型( 8位二值逻辑
  •         字符串类型变量长度为N时,其字符成员索引值为从0到N-1
  •         不同于C语言,字符串结尾没有空字符“\0
  •         字符串的内存是动态分配的,用户无需担心内存空间管理。

字符串使用方式:

typedef logic [15:0] r_t;
r_t r;
integer i = 1;
string b = "" ;//声明赋予初值。定义的字符串默认值是空字符串
string a = {"Hi",b} ;//利用连接符号{}
r = r_t' (a) ; //字节类型转换,字符串变成向量类型
b = string'(r); //向量转换成字符串
b = "Hi"; 
b = { 5{ "Hi"}}; //b ={"Hi","Hi","Hi","Hi","Hi"}常量复制
a = { i{ "Hi"}}; //(非常量复制)a里面的i个Hi
a = {i{b}};
a = {a,b} ; 
a = { "Hi",b}; 
b = {"H",""} ;//"H"。""是空字符串
a[0]=-"h";//等同于a[0]= "cough”

字符串内建方法:

str.len()//返回字符串的长度。
str.putc(i, c)//将第i个字符替换为字符c,等同于str[i]=c。
str.getc(i)//返回第i个字符。
str.substr(i,j)//将从第i个字符到第j个字符的字符串返回。
str. {atoi(), atohex(), atooct, atobin}//将字符串转变为十进制、十六进制、八进制或者二进制数据。

示例

str = "123";
int i = str.atoi() ; // assigns 123 to i.
string s ;
initial begin
    s ="IEEE";
    $display (s.getc(0)); //显示'I' 显示第几个字符
    $display (s.tolower()); //显示ieee。把字符串小写
    s.putc(s.len () -1, "-"); //将空格变为'-'。将最后一个字符变成'-'
    s = {s, "P1800"}; //"IEEE-P1800"
    $display(s.substr (2,.5));//显示EE-P
    //创建二个临时字符串并将其打印
    my_log($sformatf( "%s %5d", s, 42));
//$sformatf格式化生成,并返回一个字符串。经常在验证中用来做调试信息的字符串生成
end
task my_log (string message) ; //打印消息
    $disp1ay ("@%0t :%s", $time , message) ;
endtask

//生成一个自己想要的字符串,使用函数
$sformatf()
// $disp1ay打印显示
  • 1
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值