【verilog_9】: 设计16位二进制计数器,带异步复位、同步使能、同步装载、同步清零、同步置位

2.设计16位二进制计数器,带异步复位、同步使能、同步装载、同步清零、同步置位

法一

author : Mr.Mao
e-mail : 2458682080@qq.com


module CNT_16B2(rst_a, en_s, load_s, clr_s, clk, q, cnt, data) ;

input rst_a, en_s, load_s, clr_s, clk ;
input [0:15] data ;

output reg [0:15] cnt ;  //2^16 = 65536
output reg q ;


always@(posedge clk or negedge rst_a)
begin
     if(!rst_a)     cnt <= 0 ;
	  else if(en_s)  
	       begin
			      if(load_s)               cnt <= data ;
			      else if(clr_s)           cnt <= 0 ;
					else if(cnt < 65536)     cnt <= cnt + 1 ;
					else                     cnt <= cnt ;		 
			 end
end
 
 
 always@(posedge clk) 
 begin
 
 if(cnt == 65535)   q <= 1'b1 ;
 else               q <= 1'b0 ;  
 
 end
 
 
 endmodule

法二

author : Mr.Mao
e-mail : 2458682080@qq.com

module cntx
(
	input clk,
	input reset,
	input [5:0] d,
	input en,
	input load,
	input sclr,
	input sset,
	output reg[15:0] q,
	output cout
	
);


always @(posedge clk,posedge reset)
	if(reset)
		q <= 0;
	else if(sclr)
		q <= 0;
	else if(sset)
		q <= -1;
	else if(load)
		q <= d;
	else if(en)
		q <= q + 1'b1;
		
		
assign cout = (q==60-1);



endmodule 
  • 12
    点赞
  • 85
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值