Modelsim独立仿真

一、Modelsim建立工程仿真

创建一个工程和工程库;
加载设计文件(包括你编写好的testbench);
编译源文件;
运行仿真,并查看结果;
进行工程调试。

1、 开始菜单启动Modelsim

在这里插入图片描述

2、 File–>New-Project,新建工程

在这里插入图片描述

3、 输入工程名,并选择工程存放路径(不要有中文和特殊字符,但可以有下滑线 _ ),然后点击ok

在这里插入图片描述

4、 在工程对应窗口单击鼠标右键添加文件,可以现写,也可以选择现有文件,文件存放的路径同样不能有中文,且单次只能添加一个文件。

在这里插入图片描述
在这里插入图片描述

文件添加后的界面如图所示

在这里插入图片描述

5、 右键单击工程窗口空白界面,选择All compile,编译完成后,文件名后的 ?会变成 √

在这里插入图片描述
在这里插入图片描述

6、 单击Library选项卡,选中第一个work库,打开可以看到如下两个文件

在这里插入图片描述
在这里插入图片描述

单击water_led_tb,右键选择simulate,开始编译整个工程

在这里插入图片描述

编译完成后,如果没有波形图界面,单击ViewWave,即可打开波形图界面

在这里插入图片描述

7、 单击sim选项卡,选中需要查看波形的模块,右键单击,选择add wave

在这里插入图片描述

8、 回到波形界面,选择仿真一段时间,即可看到相应波形

在这里插入图片描述

在这里插入图片描述

其余操作,和Quartus 联合 modelsim仿真一样

二、do脚本仿真

# filename.do
# do文件的注释是由“#”开始的,但不可以在代码行后面添加,只能另起一行。
#此脚本文件存放于工程文件夹下的tb子文件夹
#在 modelsim 的 transcript 窗口执行的时候使用
# do filename.do 命令后,自动执行仿真

vlib work
vmap work work

#编译	testbench文件					       	
vlog    tb_module_name.v

#编译 	设计文件(位于工程文件夹下的rtl子文件夹) 
#	如果存放于同级文件夹(tb),则不用添加 “ ../rtl  ”
vlog ../rtl/*.v
#vlog ../rtl/*.v
#vlog ../rtl/*.v

#编译IP文件


#指定仿真顶层模块	
vsim -novopt work. tb_module_name	-t	1ns

#添加信号到波形窗 							  
add wave -position insertpoint sim:/ tb_module_name //*

#运行2000个单位时间的仿真, 也可以用run–all命令来一直仿真下去
run	2000

编写好DO文件之后,在Modelsim中,将工作目录切换到filename.do文件所在目录下,然后在Transcript窗口中的命令行输入 do filename.do即可。切换工作目录的方法如下图,点击Change Directory:
在这里插入图片描述

如果在仿真的时候要修改.do文件,需要先在Modelsim的transcript窗口里运行quit
-sim,退出仿真,然后修改.do文件,再保存,然后再重新执行do filename.do指令即可。

  • 9
    点赞
  • 30
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
在使用Modelsim进行独立仿真时,可以通过创建do文件来提高工作效率。使用do文件可以集成多个可执行的命令,并快速搭建仿真环境。具体的步骤如下: 1. 创建一个文本文件,命名为"xxx.do",其中"xxx"可以是你自己定义的文件名。 2. 在do文件中,可以使用Modelsim支持的命令来编写仿真流程,比如编译、仿真、添加信号到wave窗口等。 3. 在do文件的开头,可以使用"vlib"命令创建一个仿真工作库,用于存放编译后的文件。 4. 使用"vlog"命令编译待仿真的设计文件和测试文件。可以通过添加文件路径来指定文件的位置。 5. 使用"vsim"命令启动仿真,可以指定顶层模块,并设置仿真时间。 6. 可以使用"add wave"命令将需要观察的信号添加到wave窗口中。 7. 最后,使用"run"命令开始仿真。 通过双击批处理文件"modelsim_run.bat",可以自动调用Modelsim,并自动完成对Testbench和待验证设计文件的编译和仿真,并添加要观察的信号到wave窗口中。这样可以更方便地进行独立仿真。 [3<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [学习如何独立的使用Modelsim进行仿真验证?——编写verilog文件并查看仿真波形](https://blog.csdn.net/H19981118/article/details/124738127)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v92^chatsearchT0_1"}}] [.reference_item style="max-width: 50%"] - *2* *3* [实战篇:modelsim独立仿真](https://blog.csdn.net/weixin_41155462/article/details/117322944)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v92^chatsearchT0_1"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值