【Modelsim仿真bdf文件(不正规方法)】


前言

提示:使用 Q u a r t u s Ⅱ QuartusⅡ Quartus软件,如何仿真顶层原理图( . b d f .bdf .bdf)文件,不是正规方法但可能有相同效果,即可验证所设计的原理图( . b d f .bdf .bdf文件)文件是否正确。


一、目的

使用 Q u a r t u s Ⅱ QuartusⅡ Quartus软件,如何仿真用自己设计的 v h d l vhdl vhdl文件模块化后,调用模块而生成的顶层原理图( . b d f .bdf .bdf文件)。

二、使用步骤

1.将自己设计元件的 V H D L VHDL VHDL文件模块化,步骤如下:

  • 首先选中要模块化的 V H D L VHDL VHDL文件( . v h d .vhd .vhd),使其成为活动窗口:即当前的工作窗口。
  • 进行如下操作
    在这里插入图片描述
  • 新建 . b d f .bdf .bdf文件,即可在 P r o j e c t Project Project库中找到刚才模块化的文件模块。
  • 将原理图文件设为顶层文件。
    在这里插入图片描述
    ·编译原理图文件在这里插入图片描述
  • 即可在工程目录的 M o d e l s i m Modelsim Modelsim文件下找到.vho文件,然后调用 M o d e l s i m Modelsim Modelsim软件。

2.调用 M o d e l s i m Modelsim Modelsim R T L RTL RTL仿真)

  • 编译刚才的 ∗ . v h o *.vho .vho文件在这里插入图片描述
  • 然后 m o d e l s i m modelsim modelsim当前 w o r k work work库中就会出现可仿真的实体和构造体,下面手动添加激励可尝试仿真
  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值