二路选择器

二路选择器实验

实验环境

系统:win10
软件:Vivado, Vscode, Visio
实验板:Xilinx的Artix7系列开发板EGO1

实验内容

先使用Visio对多路选择器的分析, 例如输入输出信号, 以及波形图的变化。其次编写Verilog代码,对电路进行描述,并且写tb文件来进行仿真验证。

  1. 使用Visio对多路选择器的分析;
  2. 使用Verilog编写简单的组合逻辑;
  3. 编写顶层tb文件,对电路进行仿真验证;
  4. 在vivado中添加约束,配置管脚,并且最终下板实现。

实验具体过程

首先分析多路选择器都需要哪些输入和输出信号。本实验实现了二路选择器,在选择器中,需要两个输入信号,一个选择信号,还有一个输出信号。具体如下图:
二路选择器原理图
其次可以先画出大致的波形图:
在这里插入图片描述
然后根据sel在高的时候,输出in_1的状态,sel为低时输出in_2的状态,编写逻辑代码。

module mux2_1(
    input wire in_1,
    input wire in_2,
    input wire sel,
    output reg out
);

always @(*) begin
    if (sel == 1'b1) 
        out = in_1;
    else 
        out = in_2;
end

endmodule

最后编辑testbench:

`timescale 1ns / 1ns
//
// Company: 
// Engineer: 
// 
// Create Date: 2021/08/20 13:07:17
// Design Name: 
// Module Name: mux2_1_tb
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//
module mux2_1_tb();

reg in_1;
reg in_2;
reg sel;

wire out;

initial 
    begin
        in_1 <= 1'b0;
        in_2 <= 1'b0;
        sel  <= 1'b0;
    end

always #10 in_1 <= {$random} % 2;
always #10 in_2 <= {$random} % 2;
always #10 sel  <= {$random} % 2;

mux2_1 mux2_1_inst
(
    .in_1(in_1),
    .in_2(in_2),
    .sel (sel),
    .out (out)
);
endmodule

并且在vivado中进行仿真,仿真结果如图所示:
在这里插入图片描述
由此可以看出,在sel为0时,out输出in_2的状态,sel为1时,out输出in_1的状态。

下板!!

  1. 在vivado中创建约束文件
  2. 根据开发板的卡板信息去配置管脚
    配置管脚的时候需注意,给每个port都要设置电压。才开始跑的时候,生成比特流文件的时候报错,因为给三个输入端口都设置了3.3v的电压,但是没有给输出端口设置电压,所以报错,因为输出端口我用的是F6,在ego1的开发板上是一个LED灯,因此需要1.8v的电压,重新配置了后运行成功了。
  3. 综合、实现、Bit文件生成
  4. 连接实验板
  5. 下载代码

实验结果

P5->in_1
P4->in_2
P3->sel
F6->out
请添加图片描述
请添加图片描述
请添加图片描述
请添加图片描述

  • 1
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值