Verilog 三角波

//三角波发生器
`timescale 1ns/10ps
module tri_gen(
	clk,
	res,
	d_out);
input clk,res;
output d_out;

reg  state;
reg[8:0] d_out;
always@(posedge clk or negedge res)
       if(~res) begin
       			state<=0;
       			d_out<=0;

              end
      else  begin
      	case(state)
      	0:d_out<=d_out+1;
      	1:d_out<=d_out-1;
      	endcase
          if(d_out==299) state<=1;
          if(d_out==1) state<=0;


          end
endmodule
module tri_gen_tb;
reg clk,res;
wire[8:0] d_out;

tri_gen tri_gen(
	.clk(clk),
	.res(res),
	.d_out(d_out)
	);

initial begin
        clk<=0;res<=0;

     #17 res<=1;
     #8000 $stop;  

end
always #5 clk=~clk;
endmodule

结果:

 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值