【Verilog HDL】4选1数据选择器

源代码

module mux_4_1(
 a,b,c,d,s0,s1,s2,s3,y
);
input wire a,b,c,d,s0,s1,s2,s3;
output reg y;
always @(s0,s1,s2,s3)begin
	case({s0,s1,s2,s3})
		4'b0001:y<=a;
		4'b0010:y<=b;
		4'b0100:y<=c;
		4'b1000:y<=d;
		default:y<=1'b0;
	endcase  
end 
endmodule

信号连接图
**在这里插入图片描述**

  • 5
    点赞
  • 41
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

炼金怪z

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值