SV学习笔记(三)

过程语句和子程序

过程语句

        新的过程语句和操作符

module tb();
	initial begin:example
		integer array [10],sum,j;
		for(int i=0;i<10;i++)begin
			array[i] = i;
		end
		sum = array [9];
		$display("sum = %4d",sum);
		j = 8;
		do
			sum += array[j];
		while(j--);
		$display("sum = %4d",sum);
	end:example
endmodule

# sum =    9
# sum =   45

任务、函数以及viod函数

                任务和函数之间有很明显的区别,其中最重要的一点是,任务可以消耗时间而函数不能。如果你有一个不消耗时间的systemVerilog任务,你应该把它定义成void函数,这种函数没有返回值。这样它就能被任何任务或函数所调用了。

function void print_state()
		$display("@%0t: state = %s",$time,cur_state.name());    //用于调试的void函数
endfunction

任务和函数概述

        在子程序中去掉begin  end

task multiple_lines;
	$display("First line");
	$display("Second line");
endtask : multiple_lines

高级的参数类型

        在SV中,参数的传递方式可以指定为引用而不是复制。sv允许不带ref进行数组参数的传递,这时数组会被复制到堆栈区里。这种操作的代价很高,除非是对特别小的数组。sv规定了ref参数只能被用于带自动存储的子程序中。如果你对程序或模块指明了automatic属性,则整个子程序内部都是自动存储的。

        ref参数的第二个好处是在任务里可以修改变量而且修改结果对调用它的函数随处可见。

采用名字进行参数传递

        如果有一个带着许多参数的任务或函数,其中一些参数有缺省值,而你又想对他们中的部分参数进行设置,那么可以通过采用类似port的语法指定子程序参数名字的方式来指定一个子集

module name();
	task many (input int a = 1,b = 2,c = 3,d = 4);
			$display("%0d %0d %0d %0d",a,b,c,d);
	endtask
	
	initial begin
		many(6,7,8,9);
		many();
		many(.c(5));
		many(,6,.d(8));
	end
endmodule


# 6 7 8 9
# 1 2 3 4
# 1 2 5 4
# 1 6 3 8

从函数中返回一个数组

        第一种方式是定义一个数组类型,然后在函数的声明中使用该类型。

module array_tb();
	typedef int fixed_array5 [5];
		fixed_array5 f5;
		
	function fixed_array5 init(int start);
		foreach (init[i])
			init[i] = i + start;
	endfunction
	
	initial begin	
			f5 = init (5);
			foreach (f5[i])
				$display("f5[%0d] = %0d",i,f5[i]);
		end
		
endmodule


# f5[0] = 5
# f5[1] = 6
# f5[2] = 7
# f5[3] = 8
# f5[4] = 9

        另一种方式是通过引用来进行数组参数的传递。

module array_tb();
		
	function automatic void init(ref int f[5],input int start);
		foreach (f[i])
			f[i] = i + start;
	endfunction
	int fa[5];
	initial begin	
			init(fa,5);
			foreach (fa[i])
				$display("fa[%0d] = %0d",i,fa[i]);
		end
		
endmodule


# fa[0] = 5
# fa[1] = 6
# fa[2] = 7
# fa[3] = 8
# fa[4] = 9

时间参数

        SV允许使用数值和单位来明确指定一个时间值。代码里可以使用类似0.1ns和0.2ps的时延。只要记得使用timeunit 和 timeprecision、或者`timescale。$timeformat的四个参数分别是时间标度(-9代表纳米,-12代表皮秒),小数点后的数据精度,时间值之后的后缀字符串,以及显示数值的最小宽度。

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值