数码秒表设计

#include<reg51.h>  //  包含51单片机寄存器定义的头文件
unsigned char code Tab[10]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90}; 
 //数码管显示0~9的段码表
unsigned char int_time;        //记录中断次数
unsigned char second;        //储存秒
/***********************************************************************
函数功能:快速动态扫描延时,延时约0.6毫秒
************************************************************************/
void delay(void)
{
  unsigned char i;
  for(i=0;i<200;i++)
        ;
}
/***********************************************************************
函数功能:显示秒
入口参数:k
出口参数:无
************************************************************************/
 void DisplaySecond(unsigned char k)
{
   
   P2=0xfb;        //P2.6引脚输出低电平, DS6点亮 
   P0=Tab[k/10];          //显示十位
   delay();

   P2=0xf7;       //P2.7引脚输出低电平, DS7点亮 
   P0=Tab[k%10];         //显示个位
    delay();
    P2=0xff;     //关闭所有数码管

}
  void main(void)      //主函数
   {
      TMOD=0x01;                 //使用定时器T0
      TH0=(65536-46083)/256;    //将定时器计时时间设定为46083×1.085微秒
//=50000微秒=50毫秒
       TL0=(65536-46083)%256;
        EA=1;                    //开启总中断
        ET0=1;                   //定时器T0中断允许
        TR0=1;                   //启动定时器T0开始运行
        int_time=0;     //中断次数初始化
        second=0;       //秒初始化        
        while(1)
        {
           DisplaySecond(second);    //调用秒的显示子程序
         }
    }  
//********************************************************
//函数功能:定时器T0的中断服务程序
//*******************************************************
  void interserve(void ) interrupt 1 using 1  
  {
    TR0=0;    //关闭定时器T0
    int_time ++;        //每来一次中断,中断次数int_time自加1    
     if(int_time==20)   //够20次中断,即1秒钟进行一次检测结果采样
      {
        int_time=0;    //中断次数清0
        second++;    //秒加1
        if(second==60)
          second =0; //秒等于60就返回0
      }              
      TH0=(65536-46083)/256;   //重新给计数器T0赋初值
    TL0=(65536-46083)%256;
    TR0=1;     //启动定时器T0
 }  


 

  • 16
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
数字秒表是一种用于精确测量时间的仪器。在设计数字秒表的VHDL代码时,我们可以采用一些基本的设计原则和步骤。 首先,我们需要确定秒表的功能和需求。我们的秒表需要能够计时,显示秒数,暂停和重置计时。为了实现这些功能,我们可以使用计时器和显示器。 在VHDL代码中,我们首先定义一个计数器实体。这个实体包含一个输入时钟信号,一个计数信号和一个复位信号。计数器的作用是根据时钟信号来进行计数,每秒钟加1。同时,我们需要一个用于控制计数的使能信号。当使能信号有效时,计数器开始计数,否则暂停计数。 接下来,在顶层实体中,我们实例化计数器,并为其提供时钟信号和使能信号。此外,我们还需要一个用于显示秒数的数码管显示模块。在数码显示模块中,我们将通过将计数值转换为BCD码,并在数码管上显示出来。 此外,我们还需要添加几个按键信号,以实现暂停和重置功能。当用户按下暂停键时,我们将禁用计数器的使能信号,以暂停计数。当用户按下重置键时,我们将复位计数器,使其重新从0开始计数。 最后,我们需要确保秒表的各个部分协调工作。我们可以使用一个状态机来控制秒表的各个状态,例如计时状态、暂停状态和重置状态。根据不同的按键信号和计数值,我们可以切换不同的状态。 总体而言,设计数字秒表的VHDL代码需要定义计数器实体、数码管显示模块以及控制状态机。通过合理的组织和设计,我们可以实现一个功能齐全、可靠的数字秒表

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

随心的天空

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值