8位数码管动态扫描显示(数码管闪烁)

/*-----------------------------------------------

  内容:8位数码管分别显示不同数字,这种扫描显示方式成为动态扫描
------------------------------------------------*/
#include<reg52.h> //包含头文件,一般情况不需要改动,头文件包含特殊功能寄存器的定义

#define DataPort P0 //定义数据端口 程序中遇到DataPort 则用P0 替换
sbit LATCH1=P2^2;//定义锁存使能端口 段锁存
sbit LATCH2=P2^3;//                 位锁存

unsigned char code dofly_DuanMa[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f};// 显示段码值01234567
unsigned char code dofly_WeiMa[]={0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f};//分别对应相应的数码管点亮,即位码

void Delay(unsigned int t); //函数声明

/*------------------------------------------------
                    主函数
------------------------------------------------*/
main()
{
 unsigned char i=0,j;
 unsigned char Flag;
 while(1)
      {
       DataPort=dofly_WeiMa[i]; //取位码 
       LATCH2=1;     //位锁存
       LATCH2=0;
       if(Flag)  //判断是否是闪烁标志?
         DataPort=dofly_DuanMa[i]; //取显示数据,
                                 //段码正常显示
       else
         DataPort=0; //不显示
       LATCH1=1;     //段锁存
       LATCH1=0;

       Delay(200); //扫描间隙延时,时间太长会闪
                   //烁,太短会造成重影
       i++;
       if(8==i)    //检测8位扫描完全结束?如扫描
                 //完成则从第一个开始再次扫描8位
         i=0;
       j++;
       if(200==j)  //延时闪烁时间
       {
        j=0;
        Flag=!Flag;
       }
      }
}
/*------------------------------------------------
 延时函数,含有输入参数 unsigned int t,无返回值
 unsigned int 是定义无符号整形变量,其值的范围是
 0~65535
------------------------------------------------*/
void Delay(unsigned int t)
{
 while(--t);
}
 

 

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
FPGA(Field-Programmable Gate Array)是一种使用数字逻辑和电子元件创建可编程逻辑门阵列的集成电路。它的功能通过在FPGA芯片中配置数字逻辑门电路来实现。 8位数码扫描显示电路是一种显示器控制电路,在数字产品中常见。它主要用于控制数码显示数字和字符。 在一个FPGA中,我们可以实现一个8位数码扫描显示电路。首先,我们需要用到8个数字输出引脚来控制8个数码管的显示。其次,我们需要用到8个控制引脚来选择要显示的数字或字符。 该电路的工作原理如下:首先,将需要显示的数字或字符转换为对应的二进制编码。然后,通过配置FPGA,将对应的二进制编码输出到数码管的控制引脚上。接下来,通过向扫描引脚发送时序信号,实现数码管的扫描显示。 在每个时钟周期内,FPGA会将不同的二进制编码发送到不同的数码管上,实现多个数码管的同时显示。这样,通过不断重复上述过程,我们就可以实现8位数码扫描显示电路。 通过FPGA的可编程性,我们可以方便地修改数码扫描显示电路的功能。例如,我们可以通过编程更改数码管的亮度、切换显示模式或者添加其他功能。 总而言之,8位数码扫描显示电路通过FPGA芯片的可编程性,实现了数字和字符在数码管上的显示。它应用广泛,可以用于各种数字产品中,如计数器、钟表、电子秤等。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

随心的天空

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值