自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(9)
  • 收藏
  • 关注

原创 HDLBits 计数器

HDLBitsCount15Build a 4-bit binary counter that counts from 0 through 15, inclusive, with a period of 16. The reset input is synchronous, and should reset the counter to 0.module top_module ( input clk, input reset, // Synchronous act

2022-02-14 13:18:53 689

原创 HDLBits 移位寄存器

HDLBitsShift4Build a 4-bit shift register (right shift), with asynchronous reset, synchronous load, and enable.areset: Resets shift register to zero. load: Loads shift register withdata[3:0]instead of shifting. ena: Shift right (q[3]becomes zero...

2022-01-30 18:22:30 566

原创 HDLBits 时序逻辑电路(锁存与触发)

HDLBitsDffCreate a single D flip-flop.module top_module ( input clk, // Clocks are used in sequential circuits input d, output reg q );// always @(posedge clk) q <= d; // Use a clocked always block // copy d

2022-01-27 14:41:43 376

原创 一些简单组合逻辑电路的verilog实现

HDLBits

2022-01-04 16:49:25 1073

原创 verilog练习笔记 (语法特征)

HDLBitsConditionalVerilog has a ternary conditional operator ( ? : ) much like C:(condition ? if_true : if_false)This can be used to choose one of two values based on condition (a mux!) on one line, without using an if-then inside a combinational a

2022-01-03 09:09:07 663

原创 verilog学习笔记(过程语句)

HDlBits ProceduresAlwaysblock1:A bit of practice:Build an AND gate using both an assign statement and a combinational always block.// synthesis verilog_input_version verilog_2001module top_module( input a, input b, output wire out_

2021-12-30 16:43:54 792

原创 verilog向量练习

HDLBits 向量Vector0module top_module( input [2:0] vec, output [2:0] outv, output o2, output o1, output o0); assign outv = vec; // This is ok too: assign {o2, o1, o0} = vec; assign o0 = vec[0]; assign o1 = vec[1]; assign o2 = vec[2]; e

2021-12-29 10:39:41 407

原创 verilog学习笔记(模块module)

HDLBits Module模块调用方法By positionmod_a instance1 ( wa, wb, wc ); 通过端口位置一一对应,以此来实现两个模块之间的连接,但当其中一个模块的端口位置发生改变,就需要对相应连接的线进行重新连接。及线连接的对象会因为端口位置的变化而变化。By namemod_a instance2 ( .out(wc), .in1(wa), .in2(wb) ); 该方法是...

2021-12-28 15:37:05 1986

原创 verilog学习笔记(HDLbits基础篇)

HDLbits(verilog练习网站)拼接运算符运算符号: {}功能: 将两个或多个信号的某些为拼接起来。 {信号1的某几位,信号2的某几位……信号n的某几位} 如:{3{a,b}} = {{a,b},{a,b},{a,b}} = {a,b,a,b,a,b};图例:代码实现://非拼接运算附值办法module top_module ( input a, input b, input c...

2021-10-06 16:04:54 2629

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除