算术逻辑单元ALU

算术逻辑单元ALU

总述

image-20240410204817822

算术逻辑单元的作用

ALU=Arithmetic and Logic Unit

image-20240410205116369

image-20240410205125798

ALU的功能

image-20240410205522036

image-20240410205533227

直送意思是不对输入的数据进行任何的处理,直接输出。ALU的控制信号的比特数由ALU支持功能的数量决定。

ALU的实现原理

image-20240410205818029

简单了解即可。

看懂ALU图示

image-20240410210015159

image-20240410210030547

上图的机器字长显然就是n bit。PSW程序状态字寄存器,有的计算机也叫做“标志寄存器FR”。

真题举例

image-20240410210403683

总结

image-20240410210424520

  • 10
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值